CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Generator

搜索资源列表

  1. generator

    0下载:
  2. 555定时计数器的使用和脉冲波形的变换与产生原理,有助于大家设计信号发生器 -555 from time to time the use of counters and pulse shape of the transformation and have a theory, help you design the signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:981165
    • 提供者:秦寅
  1. MATLABforgenerationofrandomvalues

    0下载:
  2. 3种伪随机数列发生器的matlab编码以及其各种特性的分析-Three kinds of pseudo-random number generator matlab bar coding and analysis of its characteristics
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:147582
    • 提供者:黎明
  1. generator

    0下载:
  2. Trace Number Generator
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-12
    • 文件大小:966
    • 提供者:byteFrog
  1. FPGA_NEW_APPROACH_TO_IMPLEMENT_CHAOTIC_GENERATOR.

    0下载:
  2. In this paper, a new method is introduced to implement chaotic generators based on the Henon map and Lorenz chaotic generators given by the state equations using the Field Programmable Gate Array (FPGA). The aim of this method is to increase the freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:254037
    • 提供者:gsbnd
  1. 3

    0下载:
  2. 随机数生成程序,用于作为系统辨识的输入信号,得出相应的输出-Random number generator procedure for system identification as an input signal, the output reached
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:606
    • 提供者:
  1. test

    0下载:
  2. Block Memory Generator v2.7
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-15
    • 文件大小:3993436
    • 提供者:程灵燕
  1. maze(2solutions)

    0下载:
  2. 运用c++语言编程,利用随机数产生迷宫(内含两种方法)~-Maze using random number generator (containing the two methods) ~
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:1110
    • 提供者:zmzy
  1. computer-basedvirtualfunctiongenerator

    2下载:
  2. 采用LabVIEW软件构建了一个基于计算机的虚拟函数发生器。文中首先介绍了信号发生器的发展状况,并在此基础上研究通用函数发生器的分类和主要性能指标。之后通过研究对数据采集卡的使用,完成虚拟函数发生器的硬件设计。再参照通用函数发生器的功能模块,采用LabVIEW软件进行虚拟函数发生器的软件设计。最后进行了仿真实验,实现了波形选择、参数设置、扫频、连续或突发输出等功能,并实现了虚拟函数发生器的模拟输出- used LabVIEW to build a computer-based virtual f
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:122309
    • 提供者:曹超
  1. hundunMATLAB

    0下载:
  2. 一个混沌信号产生器MATLAB源代码.主要用于产生混沌信号序列-A chaotic signal generator MATLAB source code. Mainly used to produce chaotic signal sequence
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:86798
    • 提供者:zzx
  1. EECS_6728_Makefile_Generator

    0下载:
  2. makefile generator for gnu make, written in Qt 4.5
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-10
    • 文件大小:1337020
    • 提供者:djulzz
  1. Block_addgen

    0下载:
  2. Interleaved Block address generator (customized block size and interleaving strip size).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:803
    • 提供者:yusuf
  1. pusle-generator

    0下载:
  2. 根据Jean J. Labrosse的dio嵌入式构件,自己编写的一个脉冲发生器。结构简洁,注释清晰,可供编程者参考。-According to Jean J. Labrosse embedded component of the dio, I have written a pulse generator. Simple, clear notes for programming reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:11555
    • 提供者:zhuxiaosan
  1. install_bmfont_1.10b

    0下载:
  2. bit map font generator
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:289594
    • 提供者:rey
  1. magnetic_frill

    0下载:
  2. Feeding antennas with proper signals can be difficult. The signal is often described as a voltage, and voltages are not well defined in electromagnetic wave formulations. There are several tricks to model voltage generators in such situations, and on
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:4689
    • 提供者:wyle
  1. khalil2006_true_random_number_generator

    0下载:
  2. a true random number generator (TRNG) in hardware which is targeted for FPGA-based crypto embedded systems. All crypto protocols require the generation and use of secret values that must be unknown to attackers.Random number generators (RNG) are requ
  3. 所属分类:Crypt_Decrypt algrithms

  1. trace

    4下载:
  2. 飞机轨迹发生器使用matlab写成的可用来模拟飞机飞行轨迹-The use of the aircraft trajectory generator can be written in matlab to simulate the aircraft flight path
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:443344
    • 提供者:帅来帅去
  1. tongbufadianji

    0下载:
  2. 同步发电机,用于仿真用,6.5以上可打开-Synchronous generator for the simulation used to open more than 6.5
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:1126
    • 提供者:qiuguilin
  1. Doubly

    0下载:
  2.  介绍了交流励磁变速恒频双馈风力发电机组的工作原理,从双馈发电机的数学模型出发,采用矢量控制技术,在 Matlab /Simulink环境下建立了系统模型,进行了发电机有功无功独立调节的仿真研究。研究结果表明变速恒频双馈风力发电 机组具有良好的动态特性,并为风力发电系统的进一步应用研究提供了可靠的理论依据.-Introduced the AC-excited doubly-fed VSCF wind turbine working principle, from the doubly-f
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:502662
    • 提供者:朱珠
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. generator_de_semnal

    0下载:
  2. Signal generator in LabView
  3. 所属分类:Graph program

    • 发布日期:2017-04-17
    • 文件大小:15918
    • 提供者:AlDu
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com