CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHD

搜索资源列表

  1. 数据选择器vhd源代码

    0下载:
  2. 数据选择器,半加器,3-8译码器vhd源代码。是最近学校的实验内容。我要成会员,所以都发上来供大家参考。-data selection, half-adder ,3-8 decoder vhd source code. Recent experimental schools content. I want to become members and therefore has made onto for reference.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11725
    • 提供者:kljd
  1. apb_bridge.vhd

    0下载:
  2. apb_bridge.vhd
  3. 所属分类:编程文档

    • 发布日期:2010-11-08
    • 文件大小:1846
    • 提供者:azhe5587
  1. AHB2APB.vhd

    0下载:
  2. AHB2APB.vhd
  3. 所属分类:源码下载

  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. vhd-util-code.rar

    0下载:
  2. xen source 推出最新的VHD操作工具VHD-UTIL 实现源码,超强,学习高手的设计思路,source code about VHD-UTIL
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-10
    • 文件大小:25
    • 提供者:ugdev
  1. Virtual-Disk-Converter

    0下载:
  2. 虚拟磁盘转换器,以在.img .vhd .wmdk 之间进行转换。-Virtual disk converter, to the. Img. Vhd. Wmdk convert between.
  3. 所属分类:Disk Tools

    • 发布日期:2017-03-21
    • 文件大小:833885
    • 提供者:暮鸟
  1. 13

    0下载:
  2. para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3394
    • 提供者:libing
  1. 11

    0下载:
  2. cnt6.bdf 六进制约翰逊计数器 counters.vhd 不同功能的简单计数器 count60.vhd 60进制计数器 count60.bdf 60进制计数器 counter_1024.vhd 8位二进制计数器 counter_1m.vhd 16位二进制计数器 counter.vhd N进制计数器-M Johnson cnt6.bdf six different functions counters.vhd counter simple counter count
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:7764
    • 提供者:libing
  1. vhd_spec_10_18_06

    0下载:
  2. Microsoft VHD规格说明书,是由微软公司制定,Microsoft 及 Critrix 两大公司在虚拟机合作领域主推的虚拟磁盘文件格式描述,其功能强大而且实现COPY OF WRITE 特性,体现简单易用高效实现虚拟磁盘管理,现已在两在公司产品中实现并实现通用-VHD Specification
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:3734
    • 提供者:ugdev
  1. uart.vhd

    0下载:
  2. this modul is serial send & resive for RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1353
    • 提供者:rez
  1. fifo.vhd

    0下载:
  2. This a FIFO in VHDL Code-This is a FIFO in VHDL Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3581
    • 提供者:lagartojj
  1. myAD558

    0下载:
  2. AD558 VHDL 程序 *.vhd 包括各种波形发生,正弦波,三角波,梯形波-AD558 vhdl program*.vhd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:292997
    • 提供者:hongzhe
  1. lift.vhd

    0下载:
  2. 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1356
    • 提供者:董灏
  1. WinImagev850

    0下载:
  2. WinImage is a fully-fledged disk-imaging suite for easy creation, reading and editing of many image formats and fileystems, including DMF, VHD, FAT, ISO, NTFS and Linux. The disk image is an exact copy of a physical disk (floppy, CD-ROM, hard disk, U
  3. 所属分类:File Operate

    • 发布日期:2017-05-10
    • 文件大小:2245655
    • 提供者:crykister
  1. conv.vhd

    0下载:
  2. 卷积编码的VHDL代码,公司内部资料,不是个人随便编写的-VHDL code of convolutional encoding
  3. 所属分类:Communication

    • 发布日期:2017-03-22
    • 文件大小:6115
    • 提供者:魏强
  1. crc.vhd

    0下载:
  2. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:5426
    • 提供者:魏强
  1. 2009VHD

    0下载:
  2. 2009 VHD虚拟磁盘管理系统环境配置操作手冊官方提供-2009 VHD virtual disk management system environment configuration manual from official sources
  3. 所属分类:Project Design

    • 发布日期:2017-06-10
    • 文件大小:17494434
    • 提供者:李明
  1. vhd

    0下载:
  2. 波形发生器 是基于VHDL的原码;可以下载下来看看。-waveform generator for VHdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:861
    • 提供者:chl
  1. vhdl-digital

    1下载:
  2. VHD L数字钟 设计源码 包括 设计思想 设计模块 -VHD L source, including digital clock design design design module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:45043
    • 提供者:马峰凌
  1. Circuit-Design-With-VHD

    0下载:
  2. Circuit Design With VHD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4015731
    • 提供者:Christoffer
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com