CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL分频显示

搜索资源列表

  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. 电子钟VHDL

    1下载:
  2. 这是一个电子钟的VHDL源程序、包括分频、秒计时、分计时、时计时、数码管显示、较时等部分
  3. 所属分类:其它

    • 发布日期:2009-09-09
    • 文件大小:7261
    • 提供者:huabiao360
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. dled.rar

    0下载:
  2. VHDL语言,动态数码管扫描显示。包含分频程序和扫描键盘程序。,VHDL language, dynamic digital tube display scan. Frequency Division contains the procedures and procedures for scanning the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:216021
    • 提供者:赵文
  1. VHDL_procedures.rar

    0下载:
  2. VHDL程序来让蜂鸣器发出音乐的声音 这种电路设计要分好几个模块 主要思路是用ROM记录乐谱 然后用分频器分频 还有就是用计数器读取乐谱 另外还可以扩展 使其显示音符 这是一个做好了的 就是ROM没填谱,VHDL procedures are in place to allow the voice of music The buzzer sounded a circuit design that several sub-modules to the ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1568
    • 提供者:yy0838
  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. shepinreliao

    1下载:
  2. 此为基于FPGA的射频热疗系统的设计,包括温度测量模块,指定温度设计模块,模糊控制器模块,温度显示及分频模块等。-This FPGA-based design of radiofrequency hyperthermia system, including the temperature measurement module, the design of modules specified temperature, the fuzzy controller module, temperature
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-15
    • 文件大小:10569
    • 提供者:dong
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a four through the results of th
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:151884
    • 提供者:evelyn
  1. vhdl-clock

    0下载:
  2. 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:106578
    • 提供者:li
  1. CLOK

    0下载:
  2. 时钟分频。使用原有高频信号,将其10倍频,得到可用于八段数码管显示的扫描信号-Clock frequency. The use of the original high-frequency signal, frequency-doubling of its 10, the eight can be used to display the scanned digital signal
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:292256
    • 提供者:庄岚
  1. VHDL_100_1

    1下载:
  2. 第43例 四位移位寄存器 第44例 寄存/计数器 第45例 顺序过程调用 第46例 VHDL中generic缺省值的使用 第47例 无输入元件的模拟 第48例 测试激励向量的编写 第49例 delta延迟例释 第50例 惯性延迟分析 第51例 传输延迟驱动优先 第52例 多倍(次)分频器 第53例 三位计数器与测试平台 第54例 分秒计数显示器的行为描述6 第55例 地址计数器 第56例 指令预读计数器 第57例 加.c减.c乘指令的
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:341282
    • 提供者:zyw
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. pinlvxianshi

    0下载:
  2. 通过FPGA中的时钟信号分频作为基准频率,将另一频率作为输入与之比较,并在数码管显示输入频率。(The frequency division of the clock signal in the FPGA is used as the reference frequency, the other frequency is used as input, and the input frequency is displayed in the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:8434688
    • 提供者:狄克推多
  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21229568
    • 提供者:Maggie0104
  1. VHDL二路洗衣机系统设计

    0下载:
  2. 洗衣机的系统设计,VHDL语言编写,采用VHDL模块化的设计方法来进行洗衣机控制器的设计,即自顶向下,从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块.最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本控制器基本功能描述洗衣机控制器可工作于五种模式下:单洗涤、单漂洗、单脱水、漂洗十脱水、洗涤十漂洗+脱水,可以使洗衣机控制器工作在任意一种模式,并显示出洗衣机的工作状态和剩余工作时间,在剩余时间结束后有报警声提示使用者,并且可实现暂停洗衣和继续的功能,此外,加入附加功能,可自选
  3. 所属分类:文档资料

« 12 3 4 »
搜珍网 www.dssz.com