CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog demodulation

搜索资源列表

  1. 16QAM

    2下载:
  2. 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:311091
    • 提供者:由佳彬
  1. ASK_modulator

    1下载:
  2. 振幅键控ASK的调制解调Verilog实现,带测试文件-ASK amplitude shift keying modulation and demodulation Verilog implementation, with the test file
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-07
    • 文件大小:40818
    • 提供者:wang
  1. fsk

    0下载:
  2. 数字通信中的FSK调制解调的原理和过程, 通过用Matlab 对这一过程的编程,分析信号在理想信道和加噪信道中传输时的时域图, 并用蒙特卡罗算法进行仿真。-Digital communications in FSK modulation and demodulation principle and process, through the use of Matlab in the process of programming, analysis of signals in the ideal c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:3575
    • 提供者:李飞
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. qpsk_relate

    1下载:
  2. QPSK解调机设计,采用相关解调,用硬件语言verilog描述-QPSK demodulation machine design, using the relevant demodulation, using the hardware descr iption language verilog
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-31
    • 文件大小:552
    • 提供者:zhujing
  1. bit_synch

    2下载:
  2. 本人写的MSK解调位同步完整程序,基于QuartusII90环境,采用verilog语言编写,程序简练,可靠性高,而且暂用资源少,适合CPLD器件。文件包含仿真和说明,欢迎下载!-I write a complete program MSK demodulation bit synchronization, based on QuartusII90 environment, using verilog language, procedures, concise, high reliability
  3. 所属分类:其他小程序

    • 发布日期:2014-01-27
    • 文件大小:320373
    • 提供者:Kerwin
  1. cordic_atan

    5下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated usin
  3. 所属分类:数学计算/工程计算

    • 发布日期:2014-01-08
    • 文件大小:80195
    • 提供者:Jorge
  1. examples

    0下载:
  2. 二进制差分编码解码,二进制差分相移键控二进制幅移键控,二进制相移键控,二进制频移键控最小频移键控的调制与解调-Differential encoding and decoding binary, binary differential phase shift keying binary amplitude shift keying, BPSK, binary frequency shift keying Minimum Shift Keying modulation and demodulati
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:4664
    • 提供者:xvlu
  1. psk_de

    0下载:
  2. 应用verilog语言编写实现二元相移键控解调过程。-Application verilog language to achieve binary phase shift keying demodulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2578
    • 提供者:king
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. 2FSK_decode

    2下载:
  2. 程序实现2FSK的解调,使用过零检测法,分为预处理模块和鉴频处理模块,Verilog语言,在modelsim仿真通过-2FSK Program for demodulation of zero-crossing detection method used, divided into pre-processing module and the discriminator processing module, Verilog language, adopted in the modelsim sim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17720
    • 提供者:卢平
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. verilog

    0下载:
  2. 用verilog编写的代码,实现了16QAM调制解调功能-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:8947
    • 提供者:焦栋
  1. modulation-and-demodulation

    2下载:
  2. 调制与解调系统的FPGA设计实现,包括2-ASK调制和解调,2-FSK调制和解调,2-PSK调制和解调,QPSK调制和解调,PPM调制和解调的verilog源代码。-FPGA design implementation of modulation and demodulation system, including 2-ASK modulation and demodulation, 2-FSK modulation and demodulation, 2-PSK modulation and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5398
    • 提供者:xuweiwei
  1. demodulation

    0下载:
  2. QPSK解调程序,verilog语言,基于FPGA的硬件描述语言-QPSK demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:766
    • 提供者:李晨曦
  1. d974d4330bf7

    2下载:
  2. 这是一个非常完整的qpsk调制解调用fpga实现的工程,在工程中已经能够正常使用,使用的quartus ii 开发,使用Verilog语言,文件中还包含了各种滤波器的系数文件,还有matlab仿真文件,整个工程包含从串并变换,相位映射,到成型滤波,中通滤波,cic滤波,调制,再到解调过成的下变频,匹配滤波,载波提取,位定时,判决,整个完整的过程(This is a very complete QPSK modulation and demodulation using FPGA implemen
  3. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:13488128
    • 提供者:maerzaizai
  1. FpgaMskDemod

    1下载:
  2. 基于verilog编写的MSK解调FPGA代码,modsim仿真正确(MSK demodulation FPGA code based on Verilog, modsim simulation is correct)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:7603200
    • 提供者:坏小伙
  1. modulation-and-demodulation

    0下载:
  2. 通过verilog语言实现各种基本信号的调制解调过程,包括2psk,qpsk,ppm(Realize the modulation and demodulation process of various basic signals through verilog language, including 2psk, qpsk, ppm)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-06
    • 文件大小:5120
    • 提供者:好好学习8
  1. demodulation

    3下载:
  2. 基于verilog HDL的BPSK解调的FPGA实现,仿真结果验证良好。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684BPSK u89E3 u8C03 u7684FPGA u5B9E u73B0 uFF0C u4EFF u771F u7ED3 u679C u9A8C u8BC1 u826F u597D u3002IDE u4E3Avivado 2014)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:131072
    • 提供者:涛2017777
« 12 »
搜珍网 www.dssz.com