CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - X-HDL

搜索资源列表

  1. X-HDL_3.2.55_license

    1下载:
  2. X-HDL软件是可以智能地实现vhdl<->verilog之间的相互转换的软件,不仅仅是语法转换,而是使用了hdl技术。这是该软件x-hdl3.2.55的license注册补丁,非常难得。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5140
    • 提供者:黄灿武
  1. someccode 象棋之马踏棋盘

    0下载:
  2. 一些c程序,象棋之马踏棋盘、把算术表达式转化未逆波兰表达式、保龄球计分规则算法、可进行多达50位的大整数运算(+X)、铁路调度算法,演示了堆栈的基本用法,Some c procedures, horse riding chess board, the arithmetic expressions are not translated into Reverse Polish expression, bowling scoring rules algorithm, can be as many as
  3. 所属分类:数值算法/人工智能

    • 发布日期:2017-11-08
    • 文件大小:3349
    • 提供者:
  1. Matlab.zip

    1下载:
  2. 课程设计中首先采用Ising model的思想建立一个二维的模型,然后利用重要性抽样和Monte Carlo方法及其思想模拟铁磁-顺磁相变过程。计算了顺磁物质的能量平均值Ev、热容Cv、磁化强度M及磁化率X的值,进而研究Ev、Cv、M、X与温度T的变化关系并绘制成Ev-T图、Cv-T图、M-T图、X-T图,得出顺磁物质的内能随着温度的升高先增大而后趋于稳定值;热容Cv、磁化率X随着温度的升高先增大后减小;磁化强度M在转变温度Tc处迅速减小为零,找出铁磁相变的转变温度Tc大约为2.35,First
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:1553207
    • 提供者:
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. mult_piped_8x8

    0下载:
  2. 8位乘8位的流水线乘法器,采用Verilog hdl编写-8 x 8-bit pipelined multiplier, used to prepare Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897
    • 提供者:江浩
  1. X-HDL3.2.52

    1下载:
  2. vhdl和Verilog HDL相互转换的软件,很难找的一款-vhdl and Verilog HDL mutual conversion software, very difficult to find a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3963592
    • 提供者:kenshin
  1. x_hdl

    1下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4027250
    • 提供者:navy
  1. Linux2.6.19.x.kernerlm

    0下载:
  2. 所属分类:Embeded Linux

    • 发布日期:2017-04-05
    • 文件大小:59891
    • 提供者:王山
  1. disanci

    1下载:
  2. 5位的操作数X和Y输入后暂存在寄存器A和B中,两位的操作控制码control暂存在寄存器C中,按照control码的不同,分布实现下列操作: 00控制X+Y 01控制X-Y 10控制X and Y 11控制 X xor Y 运算结果暂存在寄存器D中,然后输出。 -5 of the operand X and Y after the temporary importation of A and B in the register, the two operational c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:408335
    • 提供者:ALEX
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181 with Verilog HDL hardware desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:667999
    • 提供者:623902748
  1. Drawingausefulpicture

    0下载:
  2. Drawing a useful picture The sync generator is best rewritten to be used as an HDL module where we generate R, G and B outside. Also the X and Y counters are more useful if they start counting from the drawing area.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:583
    • 提供者:jinn
  1. xhdl_4.1.4_demo_patch

    1下载:
  2. this is X-HDL Crack. support xhdl_4.1.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:35937
    • 提供者:Morgley
  1. X-HDL

    1下载:
  2. 一款可以在verilog和VHDL之间互换的工具,经测试,暂无bug-A verilog and VHDL can be exchanged between the tools, tested, no bug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-29
    • 文件大小:3962880
    • 提供者:邵文熙
  1. booth_multiplier

    0下载:
  2. This source code makes 8 X 8 booth multiplier and it is coded in Velilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11490564
    • 提供者:KIMD
  1. HDL_equation

    0下载:
  2. Verilog Program to implement the function f=x+yz and Testbench for all the possible inputs using For Loop
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:5120
    • 提供者:liki20
搜珍网 www.dssz.com