CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - altera MAX

搜索资源列表

  1. MAX_II_board_schematics

    0下载:
  2. Altera MAX II 开发板原理图-Altera's MAX II development board schematics
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:241630
    • 提供者:吴军
  1. MAX II的UFM模块使用实例

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-06-18
    • 文件大小:233094
    • 提供者:sqazsq
  1. MAXII-Evalboard-V1.00-Designpa

    0下载:
  2. 完整的ALTERA MAXⅡEPM570试验板资料,包括原理图和PCB图,BOM表,可以直接做板。,Complete ALTERA MAX Ⅱ EPM570 test boards, including schematic and PCB diagram, BOM tables, plates can be directly done.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:433087
    • 提供者:blur
  1. ug_alt_ufm.rar

    0下载:
  2. ALTERA公司的MAXⅡ系列CPLD的内部flash使用教程,内容很详细,图文并茂,英文版。,ALTERA s MAX Ⅱ series CPLD to use the internal flash tutorial is very detailed, with illustrations in English.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:849305
    • 提供者:blur
  1. altera_epm1270_MAX.rar

    0下载:
  2. 一个ALTERA公司EPM1270 cpld的实验板原理图,其中有PCI接口电路,PDF格式,A ALTERA Corporation EPM1270 cpld schematic diagram of the experimental board, including PCI interface circuit, PDF format
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:241180
    • 提供者:blur
  1. QEP_FOR_ENCODER

    0下载:
  2. ALTERA MAX Ⅱ EPM570上QEP的源码,已经通过测试。-ALTERA MAX Ⅱ EPM570 source code on the QEP has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:953
    • 提供者:龙也
  1. MAX-PLUSII-soft

    0下载:
  2. MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图 形方式、文字输入方式(AHDL、VHDL和VERILOG)和波形方式输入设计文 件,可以编译并形成各种能够下装到EPROM和各种ALTERA器件的文件,还可 以进行仿真以检验设计的准确性,下面举例说明该软件的使用-MAX+ PLUSII software is a powerful, easy-to-use software package, which can graphically, text input me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:125111
    • 提供者:徐靖
  1. FPGA_AD

    2下载:
  2. 基于Altera的FPGA开发的基于FPGA的AD转换功能,完全通过验证。-Altera s FPGA-based development of FPGA-based AD conversion function, fully validated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:58559
    • 提供者:sq
  1. a_block_with_several_functions_with_Verilog_HDL.ra

    1下载:
  2. Verilog是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的CPLD结构,成为设计专用集成电路和其他集成电路的主流。通过应用Verilog HDL对多功能电子钟的设计,达到对Verilog HDL的理解,同时对CPLD器件进行简要了解。 本文的研究内容包括: 对Altera公司Flex 10K系列的EPF10K 10简要介绍,Altera公司软件Max+plusⅡ简要介绍和应用Verilog HDL对多功能
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-05
    • 文件大小:482983
    • 提供者:li
  1. MAXII_Device_Handbook

    0下载:
  2. Altera 公司生产的CPLD系列中的低端高性能产品MAXII用户手册,这个也能从Altera官方网站上下载。-Altera' s CPLD series production of low-end high-performance products MAXII user' s manual, this is also downloaded from the Altera website.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2846512
    • 提供者:carris
  1. cangyongEDAgjzn

    0下载:
  2. 4.1 Altera MAX+plusⅡ操作指南 4.1.1 MAX+plusⅡ10.2的安装 4.1.2 MAX+plusⅡ开发系统设计入门 4.2 Xilinx ISE Series的使用 4.2.1 ISE的安装 4.2.2 ISE工程设计流程 4.2.3 VHDL设计操作指南 4.2.4 ISE综合使用实例 4.3 Lattice ispDesignEXPERT的使用 4.3.1 ispDesignEXPERT的安装 4.3.2 原理图输入方式设计
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1431544
    • 提供者:lulu
  1. MUXplus2

    0下载:
  2. Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。本资源分七节内容详细的讲解了MUX+PLUSⅡ软件的操作及应用。-Altera Max+ plus Ⅱ is provided by FPGA/CPLD development integration environment, Max+ plus Ⅱ friendly interface and easy to use, known as the ED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1069646
    • 提供者:vanrry
  1. VHDL5.2

    0下载:
  2. In this report the design, implementation and testing of a Combination State Lock Machine from the given information, all of the design steps will be carried out using altera Max Plus II software package.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:245172
    • 提供者:zyad
  1. maxv_5m570z_SCH_PCB_PA

    0下载:
  2. Altera公司的Max 5 GX系列的原理图和pcb文件,注意,是capture及pdf格式的原理图和allegro格式的PCB文件,稍微修改修改就可以用在您的设计中,让fpga的硬件设计变得简单和高效。-Altera Max 5 the GX series of schematic and pcb files, note that the capture and pdf format of the schematic and PCB files of the allegro format,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8283767
    • 提供者:yang jinlin
  1. madadianji_controller

    1下载:
  2. 使用altera MAX II CPLD 做的马达步进电机控制器。-Motor stepper motor controller using the altera MAX II CPLD to do.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:330409
    • 提供者:kidd
  1. epm7128e

    0下载:
  2. ALTERA MAX EPM7128e CPLD full pinout
  3. 所属分类:Development Research

    • 发布日期:2017-04-07
    • 文件大小:28672
    • 提供者:Nibelungh
  1. m7000

    0下载:
  2. ALTERA MAX EPM7000 series CPLD full datasheet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:798418
    • 提供者:Nibelungh
  1. LED-ZOU-MA-DENG

    0下载:
  2. 这是基于ALTERA MAX系列低端FPGA开发板的LED走马灯源文件。压缩包包含了可以运行的整个工程。环境为QUARTUS II。-This is based ALTERA MAX series low-end FPGA development board LED lantern source files. Compressed packet contains the entire project can run. Environment QUARTUS II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:221384
    • 提供者:石同享
  1. XUELIEXINHAOFASHENGQI

    0下载:
  2. 基于ALTERA MAX系列FPGA的开发板的序列信号发生器源码。应该可以通用。设计环境为QUARTUS II。压缩包包含整个工程。-ALTERA MAX Series FPGA-based development board serial signal generator source. Should be universal. Design environment QUARTUS II. Archive contains the entire project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:116632
    • 提供者:石同享
  1. RS232

    0下载:
  2. 基于altera MAX II,实现与上位机间的rs232串口通信。(Based on Altera MAX II, the RS232 serial communication between the host computer and the host computer is realized)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:130048
    • 提供者:yellowdog
« 12 3 »
搜珍网 www.dssz.com