CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bpsk FPGA

搜索资源列表

  1. bpsk

    0下载:
  2. 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:432035
    • 提供者:李博
  1. BPSK_Modulator

    1下载:
  2. IMPLEMENTATION OF BPSK MODULATOR IN FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:412861
    • 提供者:hung
  1. bpsk_fpga

    1下载:
  2. 在FPGA上实现BPSK信号的解调,全部用VHDL语言编写,非常实用。-Implemented on the FPGA BPSK signal demodulation, all with the VHDL language, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:387964
    • 提供者:jiaojian
  1. 1

    0下载:
  2. 基于fpga的bpsk实现 module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle //载波相位 input clk,clr input M,EN //M为 -vhdl bpsk fpga dpsk module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle /
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94527
    • 提供者:yanchao
  1. Xilinx-FPGA-Matlab-Simulate

    1下载:
  2. 这是Matlab实现的非常简单的数字信号调制仿真,用于Xilinx FPGA(ASK, BPSK, FSK, OOK, QPSK)-Matlab is very simple simulation of digital signal modulation for Xilinx FPGAs (ASK, BPSK, FSK, OOK, QPSK)
  3. 所属分类:Linux-Unix program

    • 发布日期:2014-05-24
    • 文件大小:229376
    • 提供者:罗生
  1. ad9850

    2下载:
  2. 介绍了用FPGA控制DDS产生任意频率范围之内的可调制正弦波,13位BPSK,ASK等。控制字由串口写入。-verilog control AD9850 to get psk ask
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:1415348
    • 提供者:chen
  1. DDS

    0下载:
  2. 在FPGA里面实现DDS的功能,输出正弦、三角波、方波、FSK/ASK/BPSK调制波等-Inside the FPGA realization of DDS function, the output sine, triangle wave, square wave, FSK/ASK/BPSK modulation wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1867776
    • 提供者:sanxing
  1. fpga1

    0下载:
  2. HF 14443 RFID读写器FPGA代码,实现读卡器和标签模拟功能,通信速率106Kbps,使用xilinx 飓风二FPGA,miller解码,bpsk编码-HF 14443 RFID reader FPGA code reader and tag simulation capabilities to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:48610
    • 提供者:徐杰
  1. BPSK

    2下载:
  2. FPGA实现BPSK调制,带Modelsim仿真,实际系统测试通过,载波信号,调制波信号频率可调-FPGA implementation BPSK modulation with Modelsim simulation, the actual system test, the carrier signal, modulated wave signal frequency adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1085440
    • 提供者:王佳兴
  1. ook

    0下载:
  2. FPGA自己编的BPSK,实现OOK 有电路搭建,容易理解-FPGA Own BPSK, OOK realize the circuit to build, easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7279176
    • 提供者:zanesachem
  1. demodulation

    3下载:
  2. 基于verilog HDL的BPSK解调的FPGA实现,仿真结果验证良好。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684BPSK u89E3 u8C03 u7684FPGA u5B9E u73B0 uFF0C u4EFF u771F u7ED3 u679C u9A8C u8BC1 u826F u597D u3002IDE u4E3Avivado 2014)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:131072
    • 提供者:涛2017777
  1. 平方环VHDL实现

    1下载:
  2. 数字平方环电路,实现了从BPSK信号中提取相干载波的功能,简单易行,便于实现,并对其进行了数学推导和建模仿真,具有良好的实用价值。平方环以其电路结构简单而得到了广泛应用。但在平方环电路的设计中,由于NCO(或VCO)工作在2ωc频率上,当环路锁定后,其NCO(或VCO)的输出需经过二分频才能得到所需载波。而二分频电路在实现过程中,特别是在对NCO进行数字分频时,用FPGA实现太耗资源。
  3. 所属分类:VHDL编程

  1. BPSK

    1下载:
  2. 先用Matlab理论仿真,得出滤波器系数。再用Verilog语言在ISE环境下编写程序,通过Modelsim和ChipScope进行波形仿真和引号抓取,从而提高调试的效率。通过手机发送指令来控制上下变频器的参数。(Firstly, the filter coefficients are obtained by simulation with the theory of matlab. Then the program is written in Verilog language under IS
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:6740992
    • 提供者:财哥在此
搜珍网 www.dssz.com