CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lfsr

搜索资源列表

  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. BMalthorithm

    0下载:
  2. BM算法找出产生该段序列的最短级数的线性反馈移位寄存器(LFSR),如对序列a=(1001101011),结果为LFSR(25,4)即周期为25,寄存器级数为4,此处所给为固定长度。-Using BM algorithm to find the linear feedback shift registers with the least steps corresponding to certain sequence. For example, as for a=(1001101011), the
  3. 所属分类:Data structs

    • 发布日期:2017-04-03
    • 文件大小:164514
    • 提供者:richard
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. LFSR

    3下载:
  2. 简单的线性反馈移位寄存器标准C语言实现,采用visual c++2010编写,如果你打不开,请复制里面的.cpp文件采用visual c++6.0打开即可。 详细内容见源码-Simple linear feedback shift register the standard C language, written with visual c++2010, and if you can not open, please copy the inside. Cpp file using visua
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2014-04-16
    • 文件大小:784384
    • 提供者:李杨元
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. lfsr

    0下载:
  2. linear feedback shift register code in matlab
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:13632
    • 提供者:web live
  1. lfsr

    0下载:
  2. 用LSFR实现计数功能,可以减少对寄存器和少一个加法器,涉及verilog的人来说-Used to achieve LSFR counting functions, can be reduced to a few registers and adders, the people involved in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:61736
    • 提供者:liuzefu
  1. LFSR

    0下载:
  2. lfsr implement in fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:873
    • 提供者:majid
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. LFSR

    0下载:
  2. 1. 分析n-位LFSR生成m=2n-1位长伪随即序列的算法过程。 2. 用程序设计语言将算法过程编程实现。 输入明文:I do like this book 加密算法E:yi=xi^ki 密钥:32-LFSR的输出,初始值:good 本原多项式:x32+x7+x5+x3+x2+x+1 -1. Analysis of n-bit LFSR to generate m = 2n-1 bit length of the sequence was pseudo-algo
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-01
    • 文件大小:16504
    • 提供者:zouna
  1. LFSR

    0下载:
  2. 线性移位寄存器的源代码,在vc++6.0测试通过,可以当作集成电路BIST测试的计算机模拟仿真-LFSR source code, including the various steps of algorithm, suited to study LFSR of Friend
  3. 所属分类:Algorithm

    • 发布日期:2017-03-25
    • 文件大小:103748
    • 提供者:jigang
  1. LFSR

    0下载:
  2. 基于LFSR生成m序列的图像隐藏算法,利用线性插值的方法将经过异或的的图像隐藏在同样大小的一幅载体图像中-Based on LFSR generates m-series images hiding algorithm, using linear interpolation method will be through the exclusive or of the hidden images of the same size of a vector image
  3. 所属分类:Special Effects

    • 发布日期:2017-03-25
    • 文件大小:47998
    • 提供者:xlmm
  1. LFSR

    0下载:
  2. LFSR模块,单个模块,实现移位寄存器,生成测试用pattern-LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2381
    • 提供者:henin
  1. LFSR

    0下载:
  2. A Matlab Code for producing LFSR Full Period for an specifeid initial vector in cryptography
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:578
    • 提供者:Zahra
  1. lfsr

    0下载:
  2. 10位的LFSR伪随机学列生成器,包括游程检验。-10-bit LFSR pseudo-random series generator study, including the runs test.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1895017
    • 提供者:chaiyuan
  1. lfsr

    0下载:
  2. 用VerilogHDL编写的lfsr移位寄存器,可以综合。-Lfsr prepared with VerilogHDL shift register, can be summarized.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:135173
    • 提供者:signalscut
  1. LFSR

    0下载:
  2. 通过实现简单的线性反馈移位寄存器(LFSR),理解LFSR的工作原理、本原多项式重要意义-By implementing a simple linear feedback shift register (LFSR), to understand the working principle of LFSR, primitive polynomial significance
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:30250
    • 提供者:李惺忪
  1. demo-lfsr

    0下载:
  2. Demo of LFSR pseudo random number generator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:447949
    • 提供者:sohailto
  1. 4bit.lfsr.counter

    0下载:
  2. 4 bit lfsr 随机数 移位计数器-4bit lfsr counter and layout
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14686
    • 提供者:
  1. LFSR

    0下载:
  2. lfsr vhdl code by hamid ghanbari
  3. 所属分类:其他

    • 发布日期:2018-01-03
    • 文件大小:12288
    • 提供者:ghanbari1995
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com