CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - synopsys

搜索资源列表

  1. PCI_144

    0下载:
  2. -- PCI Target Interface Design for XC73144 -- -- Synopsys VHDL Solution using Xilinx XC7000 Library --- PCI Target Interface Design for XC73144 -- -- Synopsys VHDL Solution using Xilinx XC7000 Library
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2941
    • 提供者:processor
  1. Altera的IP源码8237

    1下载:
  2. 名鼎鼎的Synopsys公司出的8051IP Core VHDL语言编写,能被keilC51支持-renowned name of the company Synopsys 8051IP Core VHDL language, support can be keilC51
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:207924
    • 提供者:上面的
  1. Lab11

    0下载:
  2. 32bits FIFO with synchronizer. pass the synthesis using Synopsys tools-bits FIFO with synchronizer. Pass the sy nthesis using Synopsys tools
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60410
    • 提供者:王琪
  1. DesignCompilerFAQ

    0下载:
  2. synopsys DC FRQ 最流行的综合工具
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21720
    • 提供者:tian
  1. RTL-Implementation-Guide

    0下载:
  2. 想做一个合格的ic工程师么?这个文档告诉你怎样写高质量的rtl代码。这是SYNOPSYS注册用户才可下载的文档
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:265798
    • 提供者:scounix
  1. 佰思科技(Bicesoft.com)第四版

    0下载:
  2. 佰思科技(Bicesoft.com)第四版-632 Synopsys (Bicesoft.com) fourth
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:1068419
    • 提供者:李晓鸣
  1. cla_dc

    0下载:
  2. a demo scr ipt of \"carry lookahead adder\" for synopsys design compiler
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1906
    • 提供者:heyong
  1. Synopsys-RTLSystemC

    1下载:
  2. synopsys的systemc和RTl书籍清晰电子版,专业权威的EDA公司的培训资料-synopsys of systemc and RTl clear electronic version of books, professional authority of the EDA company' s training materials
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:329950
    • 提供者:hyalite
  1. ASIC-SYNOPSYS

    1下载:
  2. 芯片设计综合经典书籍 design compiler primetime-asic synthesys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2244641
    • 提供者:yin zhigang
  1. DClicense_Install_crack_tool

    0下载:
  2. synopsys 公司Design compiler的安装步骤及license生成工具-Installation of the Design compiler,Synopsys and the neccesary tools for license crack and generate
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:1314126
    • 提供者:john
  1. RTL-to-Gates-Synthesis-using-Synopsys-Design-Comp

    1下载:
  2. RTL-to-Gates Synthesis using Synopsys Design Compiler.rar
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:176047
    • 提供者:ovlac
  1. ASGN-1-2a3.tar

    1下载:
  2. VHDL MODELSIM FUNCTIONAL SIMULATION AND SYNTHSIS USING SYNOPSYS DESIGN COMPILER
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-14
    • 文件大小:4816
    • 提供者:sumiitd
  1. Synopsys-tools-intruction

    0下载:
  2. synopsys的主要的工具介绍,包括DC,PT,Formality等,对于初学IC设计者了解设计工具有很大帮助。-synopsys of the main tools for presentations, including DC, PT, Formality, etc., for the beginner tool for IC designers to understand the design of much help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:122136
    • 提供者:rodgersli
  1. ASIC-Design-With-Synopsys

    0下载:
  2. ASIC Design With Synopsys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10364554
    • 提供者:flame
  1. synopsys-dw-mshc

    1下载:
  2. snps,dw-mshc: for controllers compliant with synopsys dw-mshc.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3750
    • 提供者:reipkqon
  1. fifo

    0下载:
  2. 基于verilog HDL的fifo设计与测试,包含设计与测试代码,以及简单的makefile编写。整个平台是基于linux操作,仿真平台是基于SYNOPSYS的vcs工具。(Based on verilog HDL fifo design and testing, including the design and test code, and simple makefile.The platform is based on Linux operating, the simulation pla
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:15360
    • 提供者:yzzls
  1. Synopsys_Sentaurus_Process_Diode.tar

    1下载:
  2. Synopsys Sentaurus Process Diode model
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:smn1380
  1. Or1200_cpu_scripts

    0下载:
  2. OpenRisc Synopsys Synthesis
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:smn1380
  1. or1200_DCReportsAndScripts.tar

    0下载:
  2. OpenRisc Synopsys Reports and scr ipts
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:smn1380
  1. Synopsys SCL 10.9.3

    1下载:
  2. 后端综合软件design compiler将verilog源码,RTL文件转变成电路并实施优化
  3. 所属分类:其它

« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com