CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog clock divider

搜索资源列表

  1. v

    0下载:
  2. Verilog写的二分频电路代码,FPGA,实现将输入时钟信号的频率变成原来的1/2-Write Verilog code for the second divider circuit, FPGA, to achieve the frequency of the input clock signal into the original 1/2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:6655
    • 提供者:wudong
  1. DCM

    0下载:
  2. xilinx SP605开发板的DCM模块验证程序,coreGen工具生成DCM核,由DCM完成时钟分频、倍频、移相等操作-xilinx SP605 development board DCM module validation program, coreGen tool to generate nuclear DCM, completed by the DCM clock divider, frequency, and shift operations equal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2599936
    • 提供者:wangyu
  1. clock

    0下载:
  2. verilog HDL 编写的时钟分频器-prepared by the clock divider verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:672365
    • 提供者:luoxs
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. Downloads

    0下载:
  2. clock divider in verilog for FPGA use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:647
    • 提供者:harini
  1. clock_divider

    0下载:
  2. clock divider for fpga in verilog and vhdl it contains counter.vhd clock1.v clock_divider.doc-clock divider for fpga in verilog and vhdl it contains counter.vhd clock1.v clock_divider.doc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:8176
    • 提供者:sreejith
  1. fangbo

    0下载:
  2. 一个可切换分频的时钟分频器的verilog语言,可根据具体情况修改参数实现不同的分频-A switchable clock divider divider verilog language, modify the parameters according to the specific circumstances of different sub-frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1313016
    • 提供者:李彦超
  1. clock

    0下载:
  2. 利用verilog语言在fpga上实现不同分频器的设计,适合初学者学习-Verilog language in different divider on the fpga design, suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:86939
    • 提供者:houxiaoshuai
  1. verilog-code

    0下载:
  2. 都是verilog代码:多路选择器代码,储存器代码,时钟分频器代码,串并转换电路代码,香农扩展运算代码,ram代码。-MUX code and REGISTER code clock divider code string conversion circuit code, Shannon extended op code, the ram code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2438698
    • 提供者:ponyma
  1. Clk_Divider

    0下载:
  2. System Verilog Clock Divider module done with impementation, contains the implementes modules inside too.
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:2571
    • 提供者:souhaku
  1. div_clk

    0下载:
  2. verilog实现任意时钟分频,简单明了,打开modelsim-change directroy-do sim .do 即可-Achieve any clock divider, simple, open modelsim-change directroy-do sim. Do to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:41407
    • 提供者:
  1. Clock-Divider

    0下载:
  2. this verilog program, Clock Divider, can be compiled successfully by Altera and ModelSIM.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-16
    • 文件大小:155173
    • 提供者:anxar
  1. counter

    0下载:
  2. 同步清零的可逆计数器,带时钟分频 Verilog HDL语言编写-Synchronous clear reversible counter with clock divider Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:336842
    • 提供者:王军
  1. frequency-divider

    0下载:
  2. 用VERILOG 语言写的数控分频器,可能输入时钟信号实现任意整数倍的分频,-NC divider, with the words written in VERILOG HDL, can achieve any integer multiple of the input clock frequency, contains the entire project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:491800
    • 提供者:zyb
  1. clk_generator

    0下载:
  2. 时钟分频的verilog代码,能够实现小数分频,文件为Xilinx ISE工程文件-Frequency clock divider verilog codes, it is possible to achieve fractional file to Xilinx ISE Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:389954
    • 提供者:duzengquan
  1. divider-achieved-by-verilog

    0下载:
  2. 该代码用Verilog语言实现了分频功能,主要实现对输入时钟的54分频,已通过仿真验证。-The code in Verilog realize the crossover functions, the main achievement of the input clock frequency of 54 minutes, has been verified by simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2489
    • 提供者:daruili
  1. 7_1

    0下载:
  2. 电路端口为:异步清零输入端口rst,输入时钟clk_in,输出时钟clk_out。并分别采用两种以上的方法实现。(Frequency divider circuit port is: Asynchronous Clear input port rst, input clock clk_in, output clock clk_out. And use two or more methods to achieve.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:271360
    • 提供者:白学
  1. fenpin

    0下载:
  2. 实现奇数、偶数分频,fpga,Verilog,时钟分频(clock divider,frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:2048
    • 提供者:饭饭哒
  1. devider10

    0下载:
  2. 实现对时钟信号的二分频和十分频,二者作为系统的两个输出(Realization of two frequency division and ten frequency division of clock signal,and the two are used as the two output of the system.)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-11
    • 文件大小:839680
    • 提供者:钰洤
  1. y1

    0下载:
  2. FPGA input clock frequency 50Mhz, try to design a frequency divider to realize 1Hz count signal. Requirements: writing design modules; Write the test model.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:21963776
    • 提供者:pluss
« 12 »
搜珍网 www.dssz.com