CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl adder

搜索资源列表

  1. VHDL-ADDER

    1下载:
  2. VHDL的N位加法器,非常的好用,经过仿真验证的!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:936
    • 提供者:郭荣天
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. adder

    0下载:
  2. 运用VHDL语言实现四位超前进位加法器。-VHDL language using the four CLA.
  3. 所属分类:MPI

    • 发布日期:2017-03-27
    • 文件大小:4327
    • 提供者:吴伟
  1. ADDER

    0下载:
  2. 本设计是用32位的并行全加器的,可以实现浮点运算!-The design is a parallel 32-bit full adder, and floating-point operations can be achieved!
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-23
    • 文件大小:278443
    • 提供者:王强
  1. CLA.VHDL.CODE

    0下载:
  2. cla vhdl code with a picture files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:340016
    • 提供者:YD
  1. ADDER

    0下载:
  2. simple 16-bit CSA Adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:65146
    • 提供者:calvin
  1. adder

    0下载:
  2. 用vhdl实现加法器的功能,程序简介高效,移植性强-Vhdl adder with the realization of the function, procedures for efficient, portable and strong
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1037
    • 提供者:keyes wang
  1. adder

    0下载:
  2. vhdl adder with two input 4-bit and output of 4 bits and carry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:660
    • 提供者:querias
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14983
    • 提供者:张霄
  1. adder

    0下载:
  2. 本设计是做了一个32位超前进位加法器,能够快速计算-This design is made of a 32-bit lookahead adder, to quickly calculate
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:39175
    • 提供者:zhaozimou
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. TB_VHDL(adder)

    1下载:
  2. 加法器的VHDL源码及其对于的仿真Testbench 文件的编写-VHDL Code about adder for the "Simple Test Bench" example VHDL Code about adder for the "Simple Test Bench" example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:781
    • 提供者:帅哥新
  1. VHDL-ripple-lookahead-carryselect-adder

    0下载:
  2. vhdl code for ripple carry adder, carry select adder and carry look ahead adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:17551
    • 提供者:praveen
  1. serial-adder

    0下载:
  2. VHDL code for adding two hard-coded 8-bit binary numbers
  3. 所属分类:Project Design

    • 发布日期:2017-04-15
    • 文件大小:8522
    • 提供者:harsha
  1. ADDER

    0下载:
  2. 基于vhdl硬件描述语言设计的加法器电路 -Hardware descr iption language design based on vhdl adder circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:22781
    • 提供者:橡树
  1. Adder-digital-display

    0下载:
  2. 基于FPGA的用VHDL程序编写的加法器数码显示程序-FPGA-based programming with VHDL adder digital display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:142565
    • 提供者:飞虎队
  1. adder

    0下载:
  2. adder in vhdl, adder can be add some of inputs and have output in output variabels
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:4016
    • 提供者:muslim
  1. adder

    0下载:
  2. VHDL Adder implementation done in FPGA environment. VHDL Adder implementation done in FPGA environment.-VHDL Adder implementation done in FPGA environment.VHDL Adder implementation done in FPGA environment.VHDL Adder implementation done in FPGA envir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:5644
    • 提供者:anil
  1. 基于VHDL实现单精度浮点数的加-减法运算

    1下载:
  2. vhdl 加法器和减法器 希望对VHDL的同学有参考作用(VHDL adder and function as relative reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:669696
    • 提供者:angryzookey
« 12 3 4 5 6 7 8 9 10 ... 26 »
搜珍网 www.dssz.com