CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车计费器

搜索资源列表

  1. jifei

    0下载:
  2. 出租车计费,出租车里程表,出租车计费器,c语言程序-Taxi billing, taxi odometer, taxi meter, c language program
  3. 所属分类:CSharp

    • 发布日期:2017-03-27
    • 文件大小:47618
    • 提供者:
  1. chuzuchejifeiqi

    0下载:
  2. 出租车计费器 课程设计报告 详细介绍其工作原理及工作过程-Taxi meter course design report details of its working principle and working process
  3. 所属分类:File Formats

    • 发布日期:2017-03-27
    • 文件大小:121177
    • 提供者:will
  1. designtaxi_25

    0下载:
  2. 出租车计费器,VHDL实现,对学数字逻辑的同学有帮助的。-Taxi meter, VHDL realization of digital logic for school students have help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:728165
    • 提供者:ZhengHuazhen
  1. vhdl

    0下载:
  2. 出租车计费系统的设计 2.1 出租车计费器工作原理 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元)
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:132855
    • 提供者:635001
  1. taxi

    0下载:
  2. 出租车计费器系统。起步价,3km后按1.2元/km计算,当计费器达到20元时,每千米加收50 的车费。车停止和暂停时不计费。-Taxi billing system. Pricing starts, 3km after 1.2/km calculated that when the meter reached 20 yuan per km additional 50 of the fare. Cars do not stop and suspend billing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1961
    • 提供者:dong
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform designed billing syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:183616
    • 提供者:cch
  1. vhdl

    0下载:
  2. VHDL的论文,有关出租车计费器的设计,很好。-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3120
    • 提供者:黄凯
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. chuzhuche2

    0下载:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees and charges per kilometer, plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1896698
    • 提供者:阿Q
  1. Taxi-meter

    0下载:
  2. 出租车计费器,在maxplus2环境中分5个模块设计出租车计费器-Taxi meter
  3. 所属分类:transportation applications

    • 发布日期:2017-04-03
    • 文件大小:22404
    • 提供者:yen
  1. taxi

    1下载:
  2. 用verilog写的基于cpld的出租车计费器的源码,需要的参考一下-Use verilog to write a taxi based cpld billing device source code, need to refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1282030
    • 提供者:许璐璐
  1. taxi

    0下载:
  2. 出租车计费器,用以实现出租车计费的小程序,用VHDL编程实现-Taxi meter, used to achieve a small taxi billing procedures, using VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:37329
    • 提供者:天空
  1. taxi

    0下载:
  2. 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。-Introduced a taxi meter system, the composition and working principle outlined in the EDA platform, with the single-chip CPLD devices constitute the digit
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:153428
    • 提供者:蒋思
  1. YUZHI

    0下载:
  2. 出租车计费器,能实现车费、车程显示,单价、起步价设置。-Taxi meter, can achieve fares, car shows, unit prices starting to set.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:544
    • 提供者:卢伟滔
  1. Taxi_meter

    0下载:
  2. 设计一个满足日常生活所需功能的出租车计费器,实现计费功能。-Designed to meet the daily needs of a taxi meter function and achieve billing functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:337358
    • 提供者:刘智虎
  1. TaxiMeterProgramToAchieveFunctional

    0下载:
  2. 出租车计费器程序,能实现功能。包含存储各种价格,里程计算,各种模式的切换。程序流程图思路清晰。-Taxi meter program to achieve functional. Includes storage of various price, mileage calculation, the various modes of switching. Flowchart of clear thinking.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:448161
    • 提供者:阿斌
  1. 22222

    0下载:
  2. 出租车计费器 可以记录汽车行程从而算出所需要的路费-Taxi meter can record car trips to work out the required toll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:793038
    • 提供者:琳琳
  1. chuzuche5

    0下载:
  2. 本程序详细的描述了出租车计费器,可直接应用。-This procedure is detailed procedures for the taxi meter can be directly applied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1267332
    • 提供者:杨剑
  1. eda

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system uses VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform, the taxi meter system was desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:164952
    • 提供者:OFDM
  1. TAXI

    0下载:
  2. 基于VHDL的出租车计费器,通过VHDL语言来编程实现计费系统的四个功能块:分频模块,控制模块,计量模块和译码显示模块,最后使用MAX+PLUSII软件来对程序进行仿真,以模拟实现出租车的启动,停止以及等待等过程中的计时,计程和计费功能。-Taxi meter based on VHDL, VHDL language programming through the billing system of the four functional blocks: frequency module, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:张鹏飞
« 12 3 4 5 »
搜珍网 www.dssz.com