CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 功能仿真

搜索资源列表

  1. 多功能高精度信号发生器的设计

    1下载:
  2. 摘要:直接数字频率合成(DDS)是七十年代初提出的一种新的频率合技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速地发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。本设计结合这两项技术,并利用单片机控制灵活的特点,开发了一种新的函数波形发生器。在实现过程中,本设计选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了AT89C51单片机作为控制芯片。本
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-05-28
    • 文件大小:2195647
    • 提供者:nacker@126.com
  1. 用Quartus II对状态机进行功能仿真和时序仿真

    0下载:
  2. 用Quartus II对状态机进行功能仿真和时序仿真,不需要编写测试向量。文档附详细的操作步骤。
  3. 所属分类:编程文档

    • 发布日期:2011-10-30
    • 文件大小:713216
    • 提供者:heyishow
  1. proteus仿真微机课程设计(键盘输入控制,LED点阵显示)

    8下载:
  2. proteus仿真,8086,微机课程设计,键盘输入控制,LED点阵显示 设计题4:点阵LED显示功能设计(限1-2人) 设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口电路; (3)、程序功能要求:小键盘给定、数码管显示、控制并显示“待机”,“欢迎你进入系统!”,“再见”(延时10秒); (4)、具备本地及远程(串行方式)功能
  3. 所属分类:源码下载

    • 发布日期:2011-12-18
    • 文件大小:27529
    • 提供者:q370349954
  1. TRAFFICLIGHT.rar

    0下载:
  2. 一个交通灯的课程设计,有论文、proteus功能仿真图以及程序原代码,Keywords: Only flat machine Traffic lights Controler Design Realize
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:104476
    • 提供者:zhaoxiaojuan
  1. 零阶保持器仿真代码

    1下载:
  2. 零阶保持器仿真代码,已通过matlab编译,实现零阶保持器基本功能
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2012-11-12
    • 文件大小:3549
    • 提供者:mdyzhao@126.com
  1. radar_reg

    0下载:
  2. 雷达系统功能仿真,很好的资源!! 雷达系统功能仿真,很好的资源!!雷达系统功能仿真,很好的资源-Radar system simulation, a very good resource! ! Radar system simulation, a very good resource! ! Radar system simulation, a very good resource! ! Radar system simulation, a very good resource! !
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-06-12
    • 文件大小:19509110
    • 提供者:wtz
  1. OFDM

    0下载:
  2. OFDM系统功能仿真,很好很强大,-OFDM system, functional simulation, very good very strong, er er er
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:458364
    • 提供者:晕晕
  1. cymometer

    0下载:
  2. 8位十进制的频率计 有相关的波形仿真,对相应计数器的修改,可以实现任何进制(如8、16、32)的修改-8-bit decimal frequency include the relevant waveform simulation, the corresponding changes to the counter, any band can be achieved (eg, 8,16,32) changes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:122398
    • 提供者:李建兵
  1. MATLAB-SIMULINK建模与仿真实例精讲-张德丰(程序)

    1下载:
  2. simulink 从入门到精通,各个模块功能详细讲解,适合初学者;PID 控制模块,智能控制(Simulink from entry to the master, each module function detailed explanation, suitable for beginners; PID control module, intelligent control)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-23
    • 文件大小:32768
    • 提供者:benben0313
  1. emWin仿真540

    1下载:
  2. 介绍 此试用版允许您评估emWin的所有功能。 它包含一个功能齐全的图书馆,包括以下内容: 窗口管理器 内存设备 抗锯齿 小部件库 触摸面板支持 它还包含以下工具: emWin - 查看器:一个有用的工具来逐步模拟。 字体转换器的演示版本。 位图转换器的演示版本。 您需要适用于Windows和MinGW编译器或Microsoft Visual C ++(V6.0或更高版本)的CodeBlocks IDE V10.05(或更高版本)才能重建项目。 请注意,此试用版包
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:24952832
    • 提供者:隐形了
  1. 基于VB和VRML的虚拟仿真实验系统

    0下载:
  2. 基于VB和VRML的虚拟仿真实验系统,结合现有的工作描述了虚拟仿真实验系统,阐述了该系统的功能特点和结构模式,对实现该系统的关键技术进行了分析和总结(Virtual simulation experiment system based on VB and VRML)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-25
    • 文件大小:437248
    • 提供者:小雨03
  1. 带位置感应器的直流无刷电机PMW控制仿真

    3下载:
  2. 本例用PIC单片机控制带感应器的直流无刷电机,通过调节RA0端口的可变电阻器可实现电机调速控制.由于当前版本PROTEUS不支持换向功能,连接RA1端口的SW1尚不能控制无刷电机的运行方向.(This example uses PIC MCU control with inductor DC brushless motor, the variable resistor adjusting RA0 port can realize the motor speed control. The curr
  3. 所属分类:嵌入式/单片机编程

  1. P1_code_shiftXalpha

    0下载:
  2. 实现光场相机功能仿真,输入图像,完成对图像的光场处理(Realizing the function simulation of light field camera)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-04
    • 文件大小:1024
    • 提供者:癞皮阿豆
  1. 雷达系统设计MATLAB仿真

    0下载:
  2. 本书介绍了雷达系统一些基础功能的仿真过程(The simulation process of some basic functions of radar system is introduced in this book)
  3. 所属分类:仿真建模

    • 发布日期:2018-01-06
    • 文件大小:10624000
    • 提供者:墨染桃夭
  1. 故障仿真程序

    1下载:
  2. 电网故障仿真功能描述 已知:给定故障地点、故障类型, 求:(仿真)该故障后的现象,包括:动作的断路器、动作的保护、故障后的潮流分布
  3. 所属分类:能源行业(电力石油煤炭)

  1. NS2仿真实验例程

    1下载:
  2. NS2仿真实验-多媒体和无线网络通信20个简单实验例程可实现功能
  3. 所属分类:书籍源码

    • 发布日期:2017-12-15
    • 文件大小:37397230
    • 提供者:913136498@qq.com
  1. 捷联惯导仿真程序

    0下载:
  2. 使用matlab开发的捷联惯导仿真程序,使用压缩包中的README可查看相应函数的功能(Strapdown inertial navigation simulation program)
  3. 所属分类:其他

  1. 基于51单片机数码管+流水灯+计算器+矩阵键盘仿真

    0下载:
  2. 通过protues 仿真计算简单的运算功能并显示其结果,同时切换功能按键实现流水灯和数码管操作(The simple computing function is calculated and the results are displayed by Protues simulation. At the same time, the function keys are switched to realize the operation of the flow lamp and the digita
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-22
    • 文件大小:247808
    • 提供者:diaosidianzi
  1. VB编写的仿真实电子琴操作界面

    0下载:
  2. VB编写的仿真实电子琴操作界面,包含与FPGA串口通信的功能(The virtual electronic instrument interface written by VB contains the functions of serial communication with FPGA)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:4096
    • 提供者:彦子盗
  1. 8通道自动温度检测系统仿真(含原程序)

    0下载:
  2. 仿真软件开发 单片机(Microcontrollers)是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计数器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域广泛应用。从上世纪80年代,由当时的4位、8位单片机,发展到现在的300M的高速单片机。(Keyboard display progra
  3. 所属分类:单片机开发

    • 发布日期:2018-04-22
    • 文件大小:470016
    • 提供者:叶青666
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com