CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 双口RAM

搜索资源列表

  1. DPRAM

    0下载:
  2. 网络控制器和链路控制器的CPU即是通过读写双端口RAM芯片完成网络层与数据链路层的原语交互。mailbox中写入的是原语的类型,而双端口RAM的其它存储空间则存放各种服务原语的参数。-network controller and the CPU controller link is through reading and writing dual-port RAM chip to complete the network layer and data link layer of the orig
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1291
    • 提供者:李历
  1. ram2

    0下载:
  2. 双口RAM驱动,此文档是有说明的哦,希望对大家有帮助-Dual-port RAM drive
  3. 所属分类:Driver develop

    • 发布日期:2017-04-13
    • 文件大小:2552
    • 提供者:he
  1. IDT7007

    0下载:
  2. 双口RAM,亲测试可以用。各个IO口可以自己看资料。绝对正确-DOUBLE RAM
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:268018
    • 提供者:张伟亮
  1. dualram

    0下载:
  2. 双口RAM驱动程序,对于基于ARM 板子的嵌入式linux开发者,使用到双口RAM,可以在此驱动源码上修改成自己需要的。-Dual-port RAM driver board for ARM-based embedded linux developer, using the dual-port RAM, you can modify the source code in this drive into their needs.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-06
    • 文件大小:6734
    • 提供者:alex
  1. PCI9054

    0下载:
  2. PCI总线芯片PCI9054本地总线的FPGA控制逻辑。 硬件架构为PCI9054+双口RAM+FPGA。 使用USERo清中断。 该逻辑以在项目中应用。-PCI bus FPGA chip PCI9054 local bus control logic. Hardware architecture PCI9054+ dual-port RAM+ FPGA. Use USERo clear interrupts. The logic to apply in the pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1041
    • 提供者:61408520
  1. SDRAMping-pong-memory-structure

    0下载:
  2. 双口RAM 的乒乓存储结构(芯片型号CY7C09279) 应用场合为FPGA向双口RAM不断写入数据,PCI总线从RAM读取数据。[已调试验证]-Dual-port RAM, ping-pong memory structure (chip model CY7C09279) applications for the FPGA to the dual-port RAM write data continuously, PCI bus read data from RAM. [Debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1102
    • 提供者:61408520
  1. vga-with-double-port-ram

    0下载:
  2. fpga 读写双端口ram并使用VGA进行显示,基于de2-115-vga with read and write double port ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7537567
    • 提供者:luchang
  1. Test_2_Port_RAM

    0下载:
  2. Quartus ii双口RAM模块的使用,包括源码、ram时序图以及测试报告-Use Quartus ii dual-port RAM modules, including source code, ram timing diagram and test reports
  3. 所属分类:Other systems

    • 发布日期:2017-05-24
    • 文件大小:7946264
    • 提供者:刘宇
  1. ADPUARTPDPRAM

    2下载:
  2. ad7606采集信号数据存入双口ram再通过串口发送出去。- ad7606 collected signal data stored in the dual port ram and then sent through the serial port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-18
    • 文件大小:6915072
    • 提供者:wangyang
  1. 635355963606373750

    0下载:
  2. 本文介绍了应用FPGA实现对高速A/D转换芯片的控制电路,介绍了这一控制的设计思想,并提出了通过双口RAM实现FPGA与慢速度的单片机进行双机数据通信处理的解决方案。-   Data acquisition is an item of indispensable technology which is essential to the industrial control system. As the increasing need for speed performance of the da
  3. 所属分类:Linux Network

    • 发布日期:2017-04-26
    • 文件大小:117325
    • 提供者:陈建华
  1. Verilog2

    0下载:
  2. 在这次程序中只在ROM中存储了一些随机的数,因此显示出来是一些小方格,如果ROM做的更大,完全可以存储一幅图像,显示在LCD中。 不过由于由于用ROM做为显存,每次只能显示一幅静态的图像,而且没有加入字符库,不能显示字符,在下次的文章中,我将使用双口RAM,加上Nios II处理器,这样可以方便的显示各种字符。-My study term ,wish you like
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5927
    • 提供者:赵龙
  1. uart_ram

    0下载:
  2. 串口接收数据校样后存入双口ram,接收完整帧数据后,置中断,通知串口发送-After receiving proof serial data stored in dual port ram, receive a complete frame of data after the interrupt, serial port to send notifications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4377803
    • 提供者:yxs
  1. Dual_ram_verilog_CODE

    0下载:
  2. 写了FIFO中要用到的双口RAM的模块,FIFO中的RAM只用于读数据,输出数据,用写时针采集信号,读时针那一端不用读时针来采样.-Written to use the FIFO dual port RAM module, FIFO in the RAM is only used to read data, output data, the clock signal acquisition with write and read without reading that end of the h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:dagegegoni
  1. dual-port-RAM

    0下载:
  2. 利用MegaWizard设计一个双端口RAM-Use MegaWizard design of a dual-port RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:640951
    • 提供者:qu xiansheng
  1. IDT7026

    0下载:
  2. 双口RAM驱动程序及测试,具体设计时可参考,采用sem实现-Dual-port RAM and test driver
  3. 所属分类:Driver develop

    • 发布日期:2017-04-29
    • 文件大小:13238
    • 提供者:Zivery
  1. Synchronous-FIFO

    0下载:
  2. FIFO是英文FIRST-IN-FIRST-OUT的缩写,是一种先进先出的数据缓存器,它与普通存储器的区别是没有外部读写地址线,这样使用起来非常方便,但是缺点是只能顺序读写数据,其数据地址由内部读写指针自动加1完成 FIFO的主要功能是基于对双口RAM的读写控制来完成的,根据双口RAM的数据存储状况产生空满信号。双口RAM指的就是能同时对RAM进行读写操作的RAM存储器 -FIFO is an abbreviation of the English FIRST-IN-FIRST-OUT,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4055
    • 提供者:刘东辉
  1. mc912-122701-

    0下载:
  2. freescale mc68dg128ccPV芯片,接双口ram,can总线,code warrior开发-freescale mc68dg128ccPV in code warrior
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:385199
    • 提供者:张小盟
  1. interface-board

    0下载:
  2. 采用protel99se开发设计的计算机接口板,实现串口通信、并口通信和双口RAM通信。接口为PCI接口,接口芯片为PCI-PCI桥片,适用于多板并行,逻辑计算为CPLD。已经应用到工程上,运行稳定。-computer interface board using in computer system.
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1554913
    • 提供者:yuan
  1. fpga

    2下载:
  2. 利用verilog语言实现fpga双口RAM通信代码,PID算法控制电机速度代码,相关仿真测试程序
  3. 所属分类:VHDL编程

  1. fpga

    1下载:
  2. pid算法控制电机运动,实现fpga与dsp的双口RAM通信(PID algorithm to control motor movement, the realization of FPGA and DSP dual port RAM communication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:13411328
    • 提供者:峰语
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com