CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四位乘法器

搜索资源列表

  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. 8BIT

    0下载:
  2. 基于FPGA的8位乘法器代码,可以进行四象限乘法
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:585038
    • 提供者:gexiaowei
  1. shiweichenfaqi

    0下载:
  2. maxplus做的四位乘法器,可下载仿真
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:203178
    • 提供者:赵冉
  1. applicationofhardwaredescripptionlanguageCVHDLinth

    0下载:
  2. 通过四位乘法器的实例详细介绍了用VHDL语言设计数字系统的流程和方法,通过仿真实现预定目的.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:157737
    • 提供者:程军兴
  1. multi_vhdl

    0下载:
  2. 四位乘法器的VHDL源程序-four Multiplier VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1073
    • 提供者:张庆辉
  1. mux4

    0下载:
  2. 四位乘法器的VHDL语言设计,并有原理图的描述-4 Multiplier VHDL language design, and schematic descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:204235
    • 提供者:望天
  1. chengfaqi4

    0下载:
  2. 用VHDL实现四位乘法器,不直接用乘法实现,一来节省资源,二来可提高速度!-Use VHDL to achieve four multiplier, not the realization of the direct use of multiplication, one to save resources, and secondly to improve the speed!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1365
    • 提供者:
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1580
    • 提供者:htpq
  1. chengfa

    0下载:
  2. 可编程器件已有很久的发展历史了,其功能之卓越和成熟已经令当今的电子工程师们赞叹不已,除了它体积小、容量大、I/O口丰富、易编程和加密等优点外,更突出的特点是其芯片的在系统可编程技术。四位乘法器程序,VHDL语言,仿真图形 开发-four process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:215143
    • 提供者:李榆树
  1. 4_bit_mul

    0下载:
  2. 四位乘法器,可以实现两个四位二进制数的乘法。-4_bit_mul
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:733
    • 提供者:dwa
  1. four-bit-mul

    0下载:
  2. 用加法器乘法树实现四位乘法器。绝对可以实现,大家不妨下来-Achieved with the four adder tree multiplier multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:128543
    • 提供者:张洁
  1. Four-multipliers-with-VHDL-

    0下载:
  2. 用VHDL实现四位乘法器,不直接用乘法实现。该代码思路清晰,希望可以帮助到大家!-Four multipliers with VHDL implementation, not directly with the multiplication implementation. The code is clear thinking, I hope to help to you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1365
    • 提供者:recochun
  1. Multiply

    0下载:
  2. 四进位乘法器,在modelSim有仿真结果。-4bits Multiply,having stimulation in modelSim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:157014
    • 提供者:姜华
  1. 4BITMULT

    0下载:
  2. 基于FPGA的四位乘法器,在QuartusII上编译通过可实现,采用VHDL语言编写。-Based on FPGA four on time-multiplier, in QuartusII compiled can be realized through, the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:392425
    • 提供者:左云华
  1. multi_4

    0下载:
  2. 自己用写的VHDL的四位乘法器,实现方式比较简单-Write the VHDL four multipliers to achieve relatively simple way
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:272453
    • 提供者:小辉
  1. EDA

    1下载:
  2. EDA课程设计,设计的四位乘法器,原理,仿真结果及其原程序。-EDA curriculum design, the design of the four multipliers, the principle of simulation results and its original program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:2743563
    • 提供者:邱云香
  1. 16-bit-parallel-mult

    0下载:
  2. 16位并行乘法器, 由四个4位乘法器组成-16-bit parallel multiplier, consisting of four four multipliers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2621753
    • 提供者:马原
  1. 乘法器testbench

    0下载:
  2. 用于相关四位二进制乘法的简单乘法器仿真使用的testbench
  3. 所属分类:VHDL编程

« 12 »
搜珍网 www.dssz.com