CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字下变频

搜索资源列表

  1. shuzixiabianpin

    0下载:
  2. 任意中频带通信号多相数字下变频方法含公式推导-Arbitrary multi-phase signal in the frequency band digital down conversion method with the formula derived
  3. 所属分类:Document

    • 发布日期:2017-04-04
    • 文件大小:299962
    • 提供者:本科生
  1. tes_amp_80_0314

    0下载:
  2. 基于dsp builder的数字下变频器,IP核做的-digital down converter,degigned in matlab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2413869
    • 提供者:hcq
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. verilog_FPGA_DDC

    3下载:
  2. 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:王坤
  1. GSM_DDC

    0下载:
  2. GSM中数字下变频器的matlab辅助设计,并可以采用matlab生成verilog代码。-GSM digital down converter in the matlab-aided design, and can be used matlab generate verilog code.
  3. 所属分类:3G develop

    • 发布日期:2017-03-29
    • 文件大小:314033
    • 提供者:张勇奇
  1. xiabianpin

    0下载:
  2. 文中应用软件无线电思想对数字下变频器中的几个关键技术进行了研究,对下变频各个模块所涉及到的CIC、HB、FIR等关键算法进行了讨论、提炼与总结,应用matlab软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真.仿真结果表明,系统实现了对中频信号的下变频处理且无失真现象,大大减少了软件无线电系统数宁信号处理的运算量和数据的存储量,极大地提高了系统的实时性.-The paper application software rad
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:287968
    • 提供者:王楚宏
  1. GC4016

    0下载:
  2. 数字下变频器GC4016及其在软件无线电中的应用-GC4016 digital down-converter and its application in software radio
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:127261
    • 提供者:lee
  1. nco

    0下载:
  2. 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7570
    • 提供者:郑程
  1. ddc

    0下载:
  2. 仿真了DDC的工作流程,不了解数字下变频的朋友可以下载-DDC emulation of the workflow, do not understand the digital down-conversion can be downloaded to see if a friend
  3. 所属分类:OA

    • 发布日期:2017-03-28
    • 文件大小:1298
    • 提供者:郑程
  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:791
    • 提供者:王刚
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. ddc_filter

    0下载:
  2. 基于数字下变频的低通滤波器设计,原理和设计理念-digital down convert or ddc low digital filter design
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:25212
    • 提供者:mediative
  1. ddc_30m

    0下载:
  2. 基于宽带情况下数字下变频的全过程仿真程序 -Case based broadband digital down conversion of the whole process simulation program
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:1472
    • 提供者:石伟婷
  1. DDC

    2下载:
  2. 这是一篇介绍数字下变频中抽取滤波器设计的文章 我觉得很有指导意义,在此共享-this arcitle is dicrible the DDC design,very good
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:455167
    • 提供者:黄锦江
  1. DDC

    0下载:
  2. 多通道数字下变频的硕士论文,电子科大2009-多通道数字下变频器的研究与设计!-Multi-channel digital down conversion of the master' s thesis, UESTC 2009- multi-channel digital down converter in the research and design!
  3. 所属分类:Project Design

    • 发布日期:2017-05-20
    • 文件大小:6139689
    • 提供者:peter
  1. ddc

    0下载:
  2. 电子科大2007-基于CORDIC的数字下变频电路的ASIC设计与实现,主要是使用cordic算法来实现DDC中的各个模块!-UESTC 2007- Based on CORDIC for digital down conversion circuit ASIC design and implementation, primarily using cordic algorithm to realize the DDC in each module!
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2470040
    • 提供者:peter
  1. CIC

    0下载:
  2. 五阶CIC滤波器,用于降低数据传输速率。数字下变频技术不仅是软件无线电核心技术之一,还是中频数字化接收系统重要组成部分。数字下变频技术中广泛用到级联积分梳状滤波器(CIC滤波器)-CIC filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1430942
    • 提供者:姚琼琼
  1. DDC_Ver1.0

    2下载:
  2. 数字下变频(DDC)在如今基于软件无线电的架构中对系统的整体性能决定性的影响,代码为基于Matlab的4通道DDC程序,程序中可以根据需要调节滤波器等参数评估DDC的性能对于使用FPGA实现DDC有较大的参考价值-Digital down conversion (DDC) in today' s architecture based on software radio system a decisive impact on the overall performance of the code
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-17
    • 文件大小:2260
    • 提供者:易星
  1. shuzixiabianpin

    0下载:
  2. 数字下变频中cic滤波器,级联三级,主要功能是抽取滤波,及重要参考资料,包括数字下变频论文-Digital down conversion of cic filter, cascade three-level main function is to extract the filter, and important reference materials, including digital down conversion papers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6300856
    • 提供者:adam1988223
  1. shuzixindaohua

    0下载:
  2. 设计抗混叠FIR滤波器实现数字下变频的设计-Design of anti-aliasing FIR filter design for digital down conversion
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1330
    • 提供者:张越
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com