CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字下变频

搜索资源列表

  1. digital_receiver

    0下载:
  2. 本文的主要工作是完成整个系统方案的设计和 功能的仿真验证,对宽带数字下变频中滤波器设计和基于多相滤波的信道化处理 进行了系统的研究,提出了一种可实现的方案,有一定的创新性。-This major work is complete the system design and program Functional simulation of wideband digital down-conversion in the filter design and filtering based
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2221004
    • 提供者:yanglei
  1. duc_ddc_system_generator

    1下载:
  2. 介绍了在xilinx环境中利用system generator设计数字上变频DUC/数字下变频DDC的流程,对于初学者很有帮助-introduced the design of DUC/DDC using system generator under xilinx, it s quite helpful to fresh
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-03
    • 文件大小:2526429
    • 提供者:谢宾
  1. AD6654

    0下载:
  2. 自己翻译的AD6654的芯片资料,对于使用数字下变频很有帮助-Her version of the AD6654 chip data useful for the use of digital down conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1485214
    • 提供者:阮城锋
  1. digitaldownconversionbygpga

    0下载:
  2. 研究了高倍抽取的数字下变频设计,重点分析了基于级联积分梳状滤波器和级联半带滤波器的多级抽样频率算法。-Extraction of the high-powered digital down-conversion design, the focus of a cascaded integrator comb filter based on cascaded half-band filter and the multi-level sampling frequency algorithm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:219194
    • 提供者:w
  1. ddc_cic3_hf

    0下载:
  2. vhdl语言实现CIC滤波器,用于数字下变频-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1011
    • 提供者:xinghaili
  1. BasniqueDDC

    0下载:
  2. 基于正交混频的数字下变频技术研究 变频器-Based on quadrature mixing technique DDC
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:644691
    • 提供者:fei2080
  1. ddc_30m

    0下载:
  2. 信号带宽30MHz,输入采样率96MHz,输出采样率32MHz,抽取倍数为3的数字下变频DDC的Matlab仿真程序-Signal bandwidth of 30MHz, the input sample rate of 96MHz, the output sampling rate of 32MHz, extracted 3 times DDC DDC in the Matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1391
    • 提供者:王枫
  1. 1

    0下载:
  2. 正交中频采样实现数字下变频,是数字信号处理的第一步,是模拟信号变数字信号的手段-Quadrature digital downconversion IF sampling is the first step in digital signal processing, digital signal an analog signal becomes a means of
  3. 所属分类:Communication

    • 发布日期:2017-04-07
    • 文件大小:435867
    • 提供者:dede
  1. HSP50214B

    0下载:
  2. 数字下变频资料,hsp50214芯片的使用论文-Digital down conversion data, hsp50214
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:242065
    • 提供者:niuniu
  1. if-receiver

    1下载:
  2. 中频数字接收机设计与实现 对中频数字接收机方案的可行性作了分析,并通过系统仿真工具SystemView对A/D,数字下变频(DDC)及AM、FM等调制信号的软件解调作了仿真。-Design and implementation of a digital intermediate frequency receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2050579
    • 提供者:ldd
  1. CIC-NCO-HB-FIR

    1下载:
  2. 数字下变频的论文,包含各个模块的设计,其中有CIC,HB,FIR,NCO等模块和源代码。-Digital down conversion papers, including the design of each module, including CIC, HB, FIR, NCO, modules and source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-15
    • 文件大小:4076544
    • 提供者:欧熊平
  1. c13

    0下载:
  2. CIC滤波器的插值运算,并可以实现数字下变频的整个算法的研究,与仿真-design and simulink
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:3270
    • 提供者:huang xuejiao
  1. DDC

    0下载:
  2. 这是我做数字下变频的论文中用到的源代码,包含CIC,计数器,积分器等模块。-his is what I do digital down conversion of the paper used in the source code, including CIC, counter, the integrator module.
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:3163
    • 提供者:欧熊平
  1. matlab

    1下载:
  2. 完整的matlab程序实现数字下变频,ddc_out为主程序。内有详细的注释,易懂。-Complete matlab program digital down conversion. ddc_out is the main program. There are detailed notes, and easy to understand.
  3. 所属分类:matlab

    • 发布日期:2017-05-26
    • 文件大小:9059086
    • 提供者:
  1. Digital-transfer-DDC-and-DUC

    0下载:
  2. 数字变频器的设计,实验中用到的所有完整的工程文件: (1)数字上变频的设计,完整的工程文件包含: rrc_cic_impulse.mdl rrc_cic_data.mdl qpsk_modulate.mdl (2)数字下变频的设计,完整的工程文件包含: received_if.mdl demod.mdl halfband.mdl-Digital conversion: 1.DUC:rrc_cic_impulse.mdl
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:339370
    • 提供者:
  1. xy7z.ZIP

    0下载:
  2. 基于HSP50214B的AM信号数字下变频设计HSP50214B based on the AM signal digital down converter design-HSP50214B based on the AM signal digital down converter design
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-09
    • 文件大小:469726
    • 提供者:back007
  1. Idddc_30mF

    0下载:
  2. 中频70M,30M带宽LFM信号,采样率为102.4M,,数字下变频后,还进行了三倍抽取,最后还得到I,Q两路信号 -IF 70M, 30M bandwidth LFM signal, the sampling rate 102.4M, under digital variable frequency after also carried out three times extracted, and finally also received the I and Q signals
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:1410
    • 提供者:推翻
  1. vDDCe

    0下载:
  2. verilog语言实现的数字下变频设计。在ALTERA的QUUARTUS ii下实现。实用,好用。,已通过测试。 -verilog language digital down-conversion design. Of ALTERA QUUARTUS ii. Practical, easy to use. , Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:43968
    • 提供者:高尚
  1. Dsystemtestasi

    0下载:
  2. 数字下变频的matlab仿真源源程序,自动生产图形可直接使用。 -Matlab simulation source source digital down conversion, automatic production of graphics can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:1542
    • 提供者:高尚
  1. AD6636jieshouji

    0下载:
  2. 利用AD6636实现中频数字信号处理,包括数字下变频,dds-AD6636 IF digital signal processing including digital down conversion, dds
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:116228
    • 提供者:ACER
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com