CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 相位差

搜索资源列表

  1. 简易谐波分析仪

    2下载:
  2. 采用12位MAX 197对外部信号采样。采用全周波傅立叶积分算法,对采样信号进行处理,对电力系统的电压有效值、功率等特征量进行实时在线监测;采用全数字测量法测量相位差;并采用自适应技术调整采样间隔,消除非同步采样对计算造成的误差,利用PIC18F458捕获功能实时监测电力系统频率波动,修订采样间隔。分析了各量的测量误差,均达到标准。并提供友好的人机交互界面。-used 12 MAX 197 pairs external signal sampling. Using Fourier-Cycle I
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9038
    • 提供者:金永州
  1. FFT_phase_meter

    0下载:
  2. 激光测距仪中应用相位法中的相位差检测,应用频域fft算法 -laser rangefinders Application Phase Phase Difference Detection of application frequency domain algorithm fft
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1404
    • 提供者:huihui
  1. yixiang

    0下载:
  2. 数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;-digital phase shifting generator can produce preset frequency sinusoidal signal, Preferences may also have phase difference with the way the two-frequency sinusoidal signal, and can show that
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7196
    • 提供者:黄瑞炎
  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:930247
    • 提供者:张春龙
  1. cei

    3下载:
  2. 本代码是关于用matlab算出两路信号的相位差-the code is calculated on the use of Matlab two signals phase difference
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1290
    • 提供者:陈梦英
  1. sample4

    0下载:
  2. 用CVI实现的相位差计,-CVI to achieve the phase meter, ... ... ... ...
  3. 所属分类:书籍源码

    • 发布日期:2014-01-15
    • 文件大小:5207
    • 提供者:张力
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. Ymeasure

    2下载:
  2. 基于FPGA的相位测量原理图,通过对正弦信号过零比较进入FPGA,测量相位差。可用于测量导纳等应用中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8962
    • 提供者:赵培立
  1. mydesing

    0下载:
  2. 自己编写的一个相位检测程序,能识别4路信号的相位差,并能实时显示-goodsource
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1376
    • 提供者:mark
  1. grd_test

    1下载:
  2. xwch_test.m是主测试文件;biaoji.mat存放滤波器系数;xiangweicha.m用FFT计算相位差。 仿真框架为: 1.标记信号和双音信号续接作为发送信号; 2.对双音中一个频率分量的相位做偏移,再经过一个低通滤波器(引入线性相位,模拟收发不能同步测量现象)后,作为收到的信号; 3.用一个检测信号对收发信号进行相关运算; 4.画出收发信号以及相关处理后的信号。找出相关信号的那个尖点,从而推算出收发双音信号0相位点; 5. 输入0相位点位置,计算
  3. 所属分类:3G develop

    • 发布日期:2017-03-29
    • 文件大小:51102
    • 提供者:曹艳平
  1. Phase_Meter

    1下载:
  2. 无正负的带显示的周期信号相位差测量实现的程序代码-Unsigned band show the periodic signal code phase measurement achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-06
    • 文件大小:1024
    • 提供者:黄茂琴
  1. chafen

    1下载:
  2. 差分法比较曲线的形状相似度,接着筛选出有用曲线求相位差,给了原始数据,可以直接运行-Difference Method for curve shape similarity, then filter out the demand curve useful in phase, to the raw data, can run
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:46427
    • 提供者:
  1. squareout

    0下载:
  2. 一款方波输出程序(C51),占空比和相位差可调,供参考-A square wave output procedures (C51), duty cycle and phase adjustable, for reference
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2161
    • 提供者:huhongzhang
  1. XIANG-WEI-CHA-JIAN-CE-DIAN-LU-

    0下载:
  2. 相位差检测电路(供作相位检测的朋友参考)-Phase difference detection circuit
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3470916
    • 提供者:谢太林
  1. pinlv

    0下载:
  2. 频率相位测量的程序。实现相位差的测量与显示。-Frequency phase measurement process. Implementation phase of the measurement and display.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:65032
    • 提供者:易俊
  1. 2路方波0725

    0下载:
  2. 通过STM32与FPGA通信计算比较得出两路波形的相位差(The phase difference between the two waveforms is obtained by comparing the STM32 with the FPGA communication)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-16
    • 文件大小:6339584
    • 提供者:k77
  1. stm32两路相位差180度PWM

    1下载:
  2. stm32高级定时器1产生两路相位差180度PWM,频率、占空比可调。(STM32 produces two phase difference 180 degree PWM)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-31
    • 文件大小:4140032
    • 提供者:liuhongzuo
  1. phase_LMS

    1下载:
  2. 目标信号为CW信号,阵型为八元阵,采用五路并联Notch滤波器进行相位差估计,完成水声定位功能。本程序是项目中的,已经经过调试和优化,可放心使用。(The target signal is CW signal, the array is eight yuan array, and the five phase parallel Notch filter is used for phase difference estimation to complete the underwater acous
  3. 所属分类:matlab例程

    • 发布日期:2020-06-06
    • 文件大小:1024
    • 提供者:蓝小雨
  1. 相位差测频

    1下载:
  2. 雷达信号的信道化内容,主要包括相位差测频(Channelization content of radar signal, mainly including phase difference frequency measurement)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2020-12-02
    • 文件大小:6144
    • 提供者:程小乖123
  1. 输出两路有相位差的PWM波形

    1下载:
  2. 输出两路有相位差的PWM波形,输出两路有相位差的PWM波形,输出两路有相位差的PWM波形。
  3. 所属分类:OpenCV

« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com