CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 相位差

搜索资源列表

  1. qxwfft

    1下载:
  2. 基于STM32的全相位FFT相位差测量系统,很好的论文,值得大家参考-Stm32 based on the phase by phase fft measurement system, a good paper, it is worth everybody
  3. 所属分类:软件工程

    • 发布日期:2013-04-10
    • 文件大小:554105
    • 提供者:njj
  1. triple_difference

    2下载:
  2. 载波相位三差处理,用fortran语言编写的,对研究GPS载波相位差分的人有所帮助。-Three difference carrier phase processing, using the fortran language, the study of GPS carrier phase difference be helpful to people.
  3. 所属分类:GPS develop

    • 发布日期:2017-03-31
    • 文件大小:23219
    • 提供者:杨春
  1. state

    1下载:
  2. 带正负的同频率周期信号的相位差测量机的FPGA实现-With positive and negative periodic signals with frequency phase measuring machine FPGA Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1338
    • 提供者:黄茂琴
  1. mie

    15下载:
  2. Mie散射系数也叫米散射的Matlab计算程序。 当球形粒子的尺度与波长可比拟时,发生的散射为米散射。此时必须考虑散射粒子体内电荷的三维分布。此散射情况下,散射粒子应考虑为由许多聚集在一起的复杂分子构成,它们在入射电磁场的作用下,形成振荡的多极子,多极子辐射的电磁波相叠加,就构成散射波。又因为粒子尺度可与波长相比拟,所以入射波的相位在粒子上是不均匀的,造成了各子波在空间和时间上的相位差。在子波组合产生散射波的地方,将出现相位差造成的干涉。这些干涉取决于入射光的波长、粒子的大小、折射率及散射
  3. 所属分类:matlab例程

    • 发布日期:2013-12-01
    • 文件大小:2246
    • 提供者:linxu
  1. kmean

    4下载:
  2. 一个刚编出来的K—means 聚类算法的matlab源代码 适合多维数据-Just made out of a K-means clustering algorithm matlab source code for multi-dimensional data
  3. 所属分类:matlab例程

    • 发布日期:2012-11-01
    • 文件大小:1047
    • 提供者:吴立锋
  1. FFTmeasuereFandP

    0下载:
  2. 在LabVIEW下搭建子VI,利用FFT算法,测量频率和相位。-Under the sub-structures in the LabVIEW VI, using FFT algorithm, measuring frequency and phase.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:43065
    • 提供者:othanda
  1. MotionAnalysis

    0下载:
  2. 运动目标分析方面的源码。 归一化相位相关方法和相位差方法是两种运动估计方法,根据算法原理的不同,其输出形式也不相同。源码对这两种算法进行了实现。-Moving target-source analysis. Normalized phase correlation method and the phase difference method are two motion estimation methods, according to the different algorithm, its
  3. 所属分类:Special Effects

    • 发布日期:2017-04-03
    • 文件大小:555790
    • 提供者:chenping
  1. chafen

    0下载:
  2. 四相位差分图像边缘检测算法.一篇介绍数字图像边缘检测的四相位差分图像边缘检测算法-Four-phase difference image edge detection algorithm. Introduced a digital image edge detection phase of the four sub-image edge detection algorithm
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:219476
    • 提供者:wangyang
  1. fftbianhuan

    0下载:
  2. test1.txt 第一列为时间t,0.001s为步长;第二列为系统的输入信号;第三列为系统的输出信号。 signal_analyzer.m首先将test1.txt 的数据读入workspace,然后进行FFT,分析出两信号的频率,输出对于输入的幅值衰减(db),输出对输入的相位差。-test1.txt the first time as t, 0.001s for the step the second as the system input signal the third as t
  3. 所属分类:Algorithm

    • 发布日期:2017-04-01
    • 文件大小:963
    • 提供者:王将
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. Lowfrequencydigitalphasetester

    0下载:
  2. 低频数字式相位测试仪,本设计给出了以凌阳16位单片机Spce061A为核心的数字式相位测量的基本原理与实现方案。该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得测量分辨率精确到0.1º ,测得的频率与相位差值送入LCD进行显示,加入红外键盘以及语音播报的功能,使得系统具有智能化、人性化的特色。-Low
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:269645
    • 提供者:shiyan
  1. xiangweichajiaozheng

    2下载:
  2. 该文件是用相位差校正技术来检测简谐波,其运行环境是在matlab中- FFT
  3. 所属分类:matlab例程

    • 发布日期:2013-08-22
    • 文件大小:962
    • 提供者:蓝莲花
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. statemachine

    0下载:
  2. 基于状态图的光电编码器4倍频vhdl程序,输入相位差90度的两相,输出倍频和方向信号-Based on the state of the optical encoder Figure 4 multiplier vhdl procedure, enter a 90-degree phase difference of two-phase, frequency and direction of the output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:865
    • 提供者:pudn
  1. MC01

    0下载:
  2. 语音的远近感受,除了在于声音传到左右耳的时间差之外,最主要的还是来自于左右耳对声音的相位差的感觉-Feelings of distance voice, in addition to voice reached our ears about the time difference, the most important from the around the ear or the voice of the phase difference of the feeling of
  3. 所属分类:Graph program

    • 发布日期:2017-04-03
    • 文件大小:199339
    • 提供者:黄豈荣
  1. phdiff

    0下载:
  2. PHDIFF函数是matlab函数,用于寻找两个震荡子的相位差-Matlab function PHDIFF function is used to find find the phase difference between two oscillations.
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:2103
    • 提供者:韩春晓
  1. Esmart1

    0下载:
  2. 完成智能天线系统的仿真,可以任意输入信噪比,相位差等-Smart Antenna System to complete the simulation, can be input SNR, phase, etc.
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:1457
    • 提供者:liumiao
  1. cegao

    0下载:
  2. 双基(机载)合成孔径雷达测高——比较没技术含量,因为用的方法是斜距差,没用相位差(还没研究出来),所以误差有点大。-Double-base (Airborne) synthetic aperture radar altimeter- not the technical content of comparison, because the methodology used is inclined away from the poor, no phase difference (not findin
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:2403
    • 提供者:sjh
  1. bunch

    0下载:
  2. 直线加速器聚束腔的设计,包括能散,最终达到的能量相位差和束流强度-Design of the linear accelerator buncher cavity design, including the energy spread, ultimately to achieve the energy, beam intensity and phase
  3. 所属分类:Algorithm

    • 发布日期:2017-04-06
    • 文件大小:1901
    • 提供者:weiwei
  1. Identified-to-the-circuit

    0下载:
  2. 输入信号A、B为相位差90°的方波信号。当A导前B 90°时,Uo1有输出,Uo2无输出,当B导前A 90°时,Uo1无输出,Uo2有输出,实现辨向。 -Input signals A, B phase difference 90 ° for the square wave signal. When A Introduction B 90 °, when the former, Uo1 have output, Uo2 no output, when the B I. A 90 ° when t
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-08
    • 文件大小:5921
    • 提供者:王登伟
« 1 2 3 45 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com