CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器

搜索资源列表

  1. 定时数码管显示1~9999

    0下载:
  2. 基于51单片机的定时计数器,每隔1s数码管显示加1,可用4位数码管直接加到9999(Based on 51 single-chip timer counter, every 1s digital tube display plus 1)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-02
    • 文件大小:24576
    • 提供者:RocketMan
  1. 161十二进制计数器

    1下载:
  2. 以matlab的simulink工具箱为平台设计一个十二进制的计数器,并对其功能加以验证(The platform design of a twelve hexadecimal counter by using MATLAB Simulink toolbox, and to verify its function)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:6144
    • 提供者:边城流星
  1. 计数器

    0下载:
  2. 这是关于定时器计数器的综合使用的单片机源程序(The comprehensive use of timer and counter)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-05
    • 文件大小:23552
    • 提供者:小仙女。
  1. gray_counter

    0下载:
  2. 格雷码计数器实质包含了三个部分 格雷码转二进制、加法器、二进制转格雷码。通过quartus II 自带的Modlesim仿真验证了 能够实现二进制和格雷码之间的转换(Gray counter essence contains three parts, gray code to binary adder, binary gray code conversion. Modlesim simulation by quartus with II verified to achieve the conve
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:2977792
    • 提供者:hay_123
  1. counter10

    0下载:
  2. vhdl编写的十进制计数器,名字叫count10,已配好引脚(VHDL's decimal counter, named count10, has been matched with a pin)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1422336
    • 提供者:li 234
  1. 计数器编程

    0下载:
  2. 把定时器0设置为计数功能,对外部输入的脉冲进行计数,并送显示器显示,脉冲由按键产生。(The timer 0 is set as the counting function, counting the external input pulse and sending the display display, the pulse is produced by the key)
  3. 所属分类:其他

  1. 微孔计数器信号采集及温度控制系统设计

    0下载:
  2. 为了研究温度对微孔计数器的检测精度的影响规律,设计了一种基于ARM 和 LabVIEW 的高精度温度控制系统。(Since the size and number of the biological cells and micro-particle could be measured precisely by Coulter principle, this principle has been widely used in scientif
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:2894848
    • 提供者:DLJCC
  1. 产品数量计数器

    0下载:
  2. 模拟工厂里的产品扫描计数器,其中S2用于模拟代替扫描开关,当有产品经过时,会使S2送出低电平(本程序中是用手按下S2来模拟)S2每按一下,便会使数码管上的计数器加一。S3用于对计数清零。(A product scanning counter in a simulated factory)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-09
    • 文件大小:15360
    • 提供者:mjv93
  1. counter

    0下载:
  2. 定义一个类,类名为CounterType。这个类的对象用于计数,记录一个非负的整数。为该类写一个默认构造函数,它能将计数器置0;再写一个构造函数,它接收一个参数,用于将计数器设为由参数指定的值。编写两个成员函数,分别使计数能够递增1和递减1。确保任何成员函数都不允许计数器为负值。成员函数void reset( );能在任何时候将计数器的值重置为0。编写两个成员函数,一个返回当前计数器的值,另一个将计数器的值输出到一个流,这个用于输出的函数有一个ostream类型的形式参数(被调用时,实际参数可以
  3. 所属分类:C#编程

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:NekoSAMA
  1. shiyan11

    0下载:
  2. 计数器 12位 可逆 进位(Counter)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:2792448
    • 提供者:李秀芹
  1. counter

    0下载:
  2. 1. 支持递增/递减/增减可配置 2. 支持计数器使能可配置 3. 支持8位计数器(Add mode, subtraction, add and subtract mode, hold mode)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:24576
    • 提供者:Coum
  1. 按键加减计数器

    1下载:
  2. 实现加减计数显示功能,加按键按一下,数码管数字加一,减按键按一下,数码管数字减一。程序简洁受用。(Add and subtract count display function, press the button to click, digital tube plus one number, minus button press, digital tube minus one. Simple procedures for use.)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:54272
    • 提供者:j君临天下
  1. count

    0下载:
  2. 含异步清零和同步使能的计数器的设计,可以实现计数,异步清零,同步使能等功能(The design of a counter with asynchronous zero and synchronization enables counting, asynchronous zero, synchronization and other functions)
  3. 所属分类:易语言编程

    • 发布日期:2018-04-19
    • 文件大小:10240
    • 提供者:爱冰冰
  1. Q系列高速计数器模块

    0下载:
  2. 三菱Q系列PLC高速计数器模块应用指导书手册。(Q series high speed counter module.)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:2949120
    • 提供者:刺骨鱼
  1. dingshiqi

    0下载:
  2. 采用定时器/计数器T0对外部脉冲进行计数,每计数100个脉冲后,T0转为定时工作方式。定时1ms后,又转为计数方式,如此循环不止。假定MCS-51单片机的晶体振荡器的频率为6MHz,请使用方式1实现(A timer / counter T0 is used to count the external pulse. After counting 100 pulses, T0 turns to a timing operation. After timing 1ms, it turns to cou
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-19
    • 文件大小:10240
    • 提供者:枫叶。。
  1. Cpu314c-2DP_HSC

    0下载:
  2. 西门子s7-300高速计数器的例子 Example of S7-300 high speed counter(Example of S7-300 high speed counter)
  3. 所属分类:其他

    • 发布日期:2018-04-20
    • 文件大小:257024
    • 提供者:昱辰工控
  1. count

    0下载:
  2. 用verilog语言编写一个计数器,改参数实现不同时间的计数器(Writing a counter in the Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:390144
    • 提供者:sagee
  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:16449536
    • 提供者:意中人8383
  1. jishuqi

    0下载:
  2. FPGA应用底层开发的逻辑单元slice连线实现计数器的功能,包含代码及仿真(FPGA applies the logic unit slice connection that is developed at the bottom to realize the function of counter, including code and simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:6642688
    • 提供者:ltfy咖啡
  1. 4位全加器 计数器等程序

    0下载:
  2. EDA仿真工具使用的,进行EDA开发的多个程序; 包括:4位全加器,12分频,128分频,篮球计数秒表(部分),计数器; 可以搭配EDA仿真软件使用,也可以搭配开发板使用;(EDA simulation tools used for EDA development of multiple programs; Including: 4 bit full adder, 12 frequency division, 128 frequency division, basketball cou
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:1024
    • 提供者:李云龙777
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com