CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 跑表

搜索资源列表

  1. paobiao

    0下载:
  2. VHDL实现跑表功能 实现跑表功能-realize time watch
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:143650
    • 提供者:ty
  1. code

    0下载:
  2. 这是一个数字跑表的代码,用FPGA实现的,对大家或许有用-This is a digital stopwatch in the code, FPGA implementation, perhaps all of us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:161557
    • 提供者:马秀成
  1. 99table

    0下载:
  2. 单片机,99秒跑表,带按键功能,数码管静态显示-SCM, 99 seconds stopwatch, with key functions
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:539
    • 提供者:鲁豫
  1. DigitalClock

    0下载:
  2. 该数字钟,采用VHDL语言编写,具有即时,跑表,调时,调分,闹铃等功能,另外还可以增加一些功能,例如正点报时等-The digital clock, using VHDL language, with real-time, PaoBiao, adjustable, adjustable, alarm functions, also can add some functions, such as punctual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1327395
    • 提供者:fushibin
  1. stop_watch

    0下载:
  2. 实现跑表功能精确度为0.01秒。(使用ACEX1K系列EP1K30TC144-3芯片)-Stopwatch function to achieve an accuracy of 0.01 seconds. (Using ACEX1K series EP1K30TC144-3 chip)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:189145
    • 提供者:Haifengqingfu
  1. paobiao

    0下载:
  2. verilog代码,跑表计数器程序, 希望能帮到感兴趣的人~-verilog code run led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:829
    • 提供者:tulip
  1. menu_test

    0下载:
  2. 实现无线龙CC2430开发板上液晶显示英文菜单中的如下内容 1、无线测试 2、跑表 3、串口调试 4、时钟模式 5、随机数 6、AES 7、DMA 8、电源模式 9、对比度设置 10、系统传感器-Long CC2430 wireless LCD development board as the content of the English menu 1, the wireless test 2, stopwatch 3, 4 serial debuggin
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:136142
    • 提供者:Sean Shi
  1. 51-clock

    0下载:
  2. 数码管前三位显示一个跑表,从000到999之间以1 秒速度运行,三个独立键盘实现按下第一个时计时停止,按下第二个时计时开始,按下第三个是计数值清零从头开始。-The top three shows a digital stopwatch, from 000 to 999 seconds, running at 1 , to achieve three separate keyboard, when pressed the first time to stop, when the second s
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:749
    • 提供者:陈少鹏
  1. paobiao

    0下载:
  2. 该程序是用verilog语言实现的数字跑表功能,其中分为计数模块与数码管显示模块。-The program is verilog language digital stopwatch function, which is divided into counting module with digital display module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1031
    • 提供者:柳庆勇
  1. digital_clock

    0下载:
  2. 用Verilog HDL 设计一个多功能数字钟,包含以下主要功能: (1) 计时,时间以24小时制显示。 (2) 校时, (3) 跑表:启动、停止、暂停 -Verilog HDL design with a multi-functional digital clock, includes the following main functions: (1) time, time to 24-hour display. (2) school, (3) stopwatch: start
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1373976
    • 提供者:冯鑫
  1. paobiao

    0下载:
  2. 51单片机跑表记忆存储及万年历的电路图及C程序-51 memory storage and microcontroller stopwatch circuit diagram and C program calendar
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:283687
    • 提供者:hhz
  1. stopwatch

    0下载:
  2. 基于FPGA用VERILOG编写的一个跑表程序....可以实现四位计数跑表-FPGA-based preparation of a stopwatch with a VERILOG program .... can achieve four counts stopwatch ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:329759
    • 提供者:王子辰
  1. nixie-tube

    0下载:
  2. 数码管前三位显示一个跑表,从000到999之间以1 秒速度运行,当按下一个独立键盘时跑表停止,松开手后跑表继续运行。(用定时器设计表)-the function about nixie tube
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:868
    • 提供者:郑峰
  1. digital-clock-

    0下载:
  2. 本代码采用verilog HDL语言编写。实现的是数字跑表计时功能-The code using verilog HDL language. Implementation is a digital stopwatch timer functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:161705
    • 提供者:西蟀
  1. ssfdfdsgsgj

    0下载:
  2. 数码管前三位显示一个跑表,从000到999之间以1 秒速度运行,当按下一个独立键盘时跑表停止,松开手后跑表继续运行。(用定时器设计表)。-Digital tube top three displays a PaoBiao, from 000 to 999 by 1 between running, when the SEC press an independent PaoBiao stop, loosen the keyboard when PaoBiao continue to run af
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:800
    • 提供者:sjj
  1. 51MCUclock

    0下载:
  2. 这是一个用单片机仿真的一个电子时钟程序,程序功能有自动计时,闹钟,12/24进制切换,整点报时,跑表,闹钟的时分秒均可调整-This is a simulation with an electronic clock microcontroller program, the program features an automatic timer, alarm clock, 12/24 hex switch, the whole point of time, stopwatch, alarm clo
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1799
    • 提供者:tanqiliang
  1. shuzipaobiao

    0下载:
  2. 在ISE环境下用Verilog HDL写的一个简易的数字跑表,最大量程为60分钟,精确到毫秒级,有复位键和暂停键。-In the ISE environment, using Verilog HDL to write a simple digital stopwatch, the maximum range is 60 minutes, accurate to the millisecond, the reset button and pause button.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:785712
    • 提供者:xuwen
  1. shuzipaobiao

    0下载:
  2. 一个关于数字跑表的小程序代码,verilog实现,并通过仿真。-A digital stopwatch on a small code, verilog implementation, and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3621811
    • 提供者:曹蒙蒙
  1. ciphercount

    0下载:
  2. 一个简单的密码控制的跑表的vhdl代码,6位数码管显示,有顶层文件和底层文件,当密码为:00001111时,为加计数;当密码为:11110000时,为减计数;当密码为:11001100时,停止计数。 -A simple password-controlled stopwatch the vhdl code, 6 digit LED display, a top-level files and the underlying documents, when the password is: 00
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5237
    • 提供者:陈磊
  1. Useful-stopwatch-(C51-version)

    0下载:
  2. 基于AT89C51单片机的实用跑表(C51版)程序-AT89C51 microcontroller-based practical stopwatch (C51 version) program
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:918
    • 提供者:木子弦
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com