CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载

资源列表

排序选择:

« 1 2 ... .45 .46 .47 .48 .49 232450.51 .52 .53 .54 .55 ... 235826 »
  1. Portable Implementation of the High-Performance Linpack Benchmark for Distributed-Memory Computers

    1下载:
  2. HPL is a software package that solves a (random) dense linear system in double precision (64 bits) arithmetic on distributed-memory computers. It can thus be regarded as a portable as well as freely available implementation of the High Performance Co
  3. 所属分类:并行运算

    • 发布日期:2018-07-19
    • 文件大小:539979
    • 提供者:361045387
  1. php文件上传

    2下载:
  2. 文件上传,获取直链,支持上传任何文件,除特别文件,比如.php、asp文件等,图片、视频可以在线浏览。同时支持搜索文件,可按文件名、日期搜索。(Upload files, get straight links, support uploading any files, in addition to special documents, such as.Php, ASP files, etc., pictures and videos can be viewed online. At the sa
  3. 所属分类:其他

  1. ForexComboSystem_v4.0(4in1)EURUSD_edu

    1下载:
  2. ea 此ea使用4种策略同时交易过滤,智能性算法可靠.(comboea This EA uses 4 strategies to deal with the transaction simultaneously, and the intelligent algorithm is reliable.)
  3. 所属分类:其他

    • 发布日期:2019-06-18
    • 文件大小:53248
    • 提供者:rred
  1. point_cloud

    1下载:
  2. 用经典的pca k邻域方法估计点云法向量的程序,带有matlab gui,使用matlab 2016b编译运行成功,输入点云最好为列向量的txt文件,gui中内置了点云显示模块以及生成的点云法向量显示,并且可以输出法向量到txt文件中。(The program of estimating point cloud vector with the classical PCA K neighborhood method, with Matlab GUI, uses MATLAB 2016b to co
  3. 所属分类:matlab例程

    • 发布日期:2020-04-04
    • 文件大小:1340416
    • 提供者:forest0459
  1. MATLAB中TVAR的代码

    1下载:
  2. 用于研究时变向量自回归,你自己改变x0的输入值就行了(The study of time-varying vector autoregression)
  3. 所属分类:其他

    • 发布日期:2018-09-22
    • 文件大小:2048
    • 提供者:幻ying
  1. 使用Arduino控制CA电机的速度 (Use arduino to control the speed of CA motor)

    1下载:
  2. 本文档介绍了如何执行交流电机的速度控制,所需材料,要构建的电路图以及Arduino的代码。 This document explains how to perform the speed control of the AC motor, the required materials, the circuit diagram to be built, and the code of the Arduino.
  3. 所属分类:编程文档

  1. 232转485(HXSP-2108F)

    6下载:
  2. win10可以用usb转485驱动,解决设备管理器黄色感叹号(the driver of usbto485)
  3. 所属分类:Windows编程

    • 发布日期:2019-01-14
    • 文件大小:1820672
    • 提供者:大西瓜1234
  1. 工程桩编号提取坐标

    4下载:
  2. CAD中提取坐标生成表格。使用方法:CAD加载程序,加载后,命令:ZBBZ(The coordinate generation table is extracted in CAD. Usage: CAD loader, after loading, command: ZBBZ)
  3. 所属分类:中间件编程

    • 发布日期:2018-08-23
    • 文件大小:98304
    • 提供者:盖打爱我
  1. A计权代码

    2下载:
  2. 实现A计权 matlab一键实现 方便快捷(A weight matlab One key realization is convenient and quick)
  3. 所属分类:文章/文档

    • 发布日期:2019-11-23
    • 文件大小:1024
    • 提供者:chamhol
  1. 3_5student

    1下载:
  2. Qt简单运用,界面之间的相互跳转,槽函数和信号的基本运用。(Qt simple application, interface jump, trough function and signal basic application.)
  3. 所属分类:按钮控件

    • 发布日期:2021-03-31
    • 文件大小:5120
    • 提供者:*123*
  1. PMSM_HF

    2下载:
  2. 基于高频注入法的永磁同步电机无传感器控制(Sensorless control of permanent magnet synchronous motor based on high frequency injection method)
  3. 所属分类:matlab例程

    • 发布日期:2018-09-19
    • 文件大小:39936
    • 提供者:杨小乐
  1. ksjxym58

    2下载:
  2. 快手无水印解析下载源码,简单好用,永久有效(Fast hand without watermark Analysis Download source)
  3. 所属分类:其他

    • 发布日期:2019-03-19
    • 文件大小:1252352
    • 提供者:changlukuan
« 1 2 ... .45 .46 .47 .48 .49 232450.51 .52 .53 .54 .55 ... 235826 »
搜珍网 www.dssz.com