CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 其他

搜索资源列表

  1. 网络同居程序

    0下载:
  2. 软件简介:具体功能如下:交友功能:和论坛同步注册同步登陆功能,填写资料、上传照片、会员搜索功能套房功能:会员拥有一个公寓.会员可以对公寓进行具体的布置.比如购买房间的装饰品和添加房间对话框. 更换公寓布景.和修改套房名称 同居功能:会员可以对心仪的对像进行同居邀请.对同意后就可以进行同居了花园功能:可选择花园样式.每天浇灌花园.就会多长出三朵花.在主页还有花园排行个人形像:多种形像选择.也可后台添加相册功能:每个会员有一个自己的相册.可上传照片之类的.有照片的用户可以在主页的明星我制造区展示会员
  3. 所属分类:PHP源码

    • 发布日期:2014-01-13
    • 文件大小:7600542
    • 提供者:cindy
  1. LyBBS62

    0下载:
  2. 凌云论坛(LyBBS)的架构是基于Jsp/JavaBean的模式,这种模式非常稳定,而且,速度比较优越,是被全球企业证明的可以高效稳定的进行企业运算开发的平台。这种平台最大的优势在于可以跨系统,真正的“一次编写、 到处运行”的特点,在这种平台上开发的产品,可以轻松移植到其他的平台,例如:Unix、Linux、Windows系统,这样,在企业更换平台的时候可以最大的节约成本,提高运算质量。-Lingyun Forum (LyBBS) framework is based on Jsp / Java
  3. 所属分类:家庭/个人应用

    • 发布日期:2008-10-13
    • 文件大小:11887993
    • 提供者:cindy
  1. kp_add

    0下载:
  2. sicad 上传文件例子 主要上传图形文件 并把上传文件复制到其他的文件下-sicad Upload file upload graphic example of the main document and upload files to other documents under
  3. 所属分类:matlab例程

    • 发布日期:2014-01-13
    • 文件大小:3291
    • 提供者:邓维
  1. Invent_Attendance

    0下载:
  2. IC卡刷卡考勤程序,纯粹实现刷卡时间的记录,使用Access数据库,查询统计功能由其他程序完成。 关键字 : COM 端口通讯,考勤-IC Card appraisal procedures, 0800-099799 purely to achieve record time, the use of Access databases, Statistical functions inquiries from other procedures are completed. Keywords :
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:131868
    • 提供者:caoym
  1. UnicodeReader

    0下载:
  2. 发现很多支持java的智能手机虽能直接打开txt各式的文件,然而当文件中包含中文时就会出现乱码,让人非常不爽,而且它不带有记忆功能,假如100页的书,你已看到了第50页,那末等下次再打开文件时,它又从第一页开始显示,于是你要一页页地往后翻。UnicodeReader就是目前仅支持unicode编码格式的txt文件,不仅解决了上面的两个问题,而且增加了其他一些有用的功能,最有特色的一点是支持超大的txt文件。-found many supporters of java Although the s
  3. 所属分类:J2ME

    • 发布日期:2008-10-13
    • 文件大小:14838
    • 提供者:阿木
  1. CDMA_path_sim

    2下载:
  2. 该函数仿真CDMA系统的信道,在输入所需用户序列和其他干扰用户序列后在信号上叠加高斯白噪声,干扰用户多径干扰和所需用户的多径干扰和衰落。并根据输入延时曲线产生信道延时,输出mul_fad_sequence为信道信号输出,max_delay为信道最大延迟,fade_sign为瑞利信道中最大幅度分量的衰落量 mpath_amp为信道多径的幅度因子-the CDMA system simulation function of the channel, required users to import
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2219
    • 提供者:刘洪
  1. HePing(msping)

    0下载:
  2. 这是我基于微软Ping源程序做的详细注释。当然,也是完全读明白才用上的。同时,参考了其他书籍资料,希望对大家有帮助。-This is based on Microsoft Ping source for the detailed notes. Of course, time is completely understand the use only. Meanwhile, the other reference books, we hope to help.
  3. 所属分类:TCP/IP协议栈

    • 发布日期:2008-10-13
    • 文件大小:13816
    • 提供者:萧白
  1. a_game_road_find

    0下载:
  2. A*算法是一个求最短路径的函数,为许多即时战略游戏所用刀(或许人家大型的即时战略游戏笔者算法更好,不管它)。它由两个函数组成,一个是评估函数,也就是确定人物移动的下一个位置必须离目标位置最近,评估函数评估的结果越精确,则寻径的速度越快;另一个就是寻径函数,也就根据评估的结果做出响应,然后从新位置继续评估下一个位置,若无路可走(四周都是障碍什么的),那么折回一个路径节点,尝试其他方向,这个算法有个缺点,随着游戏中人物增多,相应的处理节点就增多了,会影响处理速度,而且占用大量的内存。 有兴
  3. 所属分类:其他游戏

    • 发布日期:2008-10-13
    • 文件大小:3411
    • 提供者:yzmars
  1. j_9038_25175byzs

    0下载:
  2. 这是一个关于信息管理的源码,可以通过(学号/姓名)来查询: 查询值:验证码:   可查询到(姓名,笔名,学号,性别,年龄,学制, 学历,毕业类型,入学时间,毕业时间,专业,班级,联系,电话留言,其他,还有照片上传功能 -This a management information the source, through the (Class No. / Name) to inquiries : Inquiry value : Verification Code : can find (n
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:397013
    • 提供者:微微
  1. htglxt

    0下载:
  2. 企业合通管理系统以企业合同为主线,同时支持其他类相关的合通管理。系统围绕企业合同的整个生命周期,将合同签约前评审、合同签订、合同执行、合同变更、合同付款等等纳入科学化,规范化管理,从而提高了管理效率和管理质量,全程跟踪合同执行的全过程,为企业控制成本核算提供了有效的科学依据,辅助其及时发现管理上存在的主要问题,寻求到降低商业往来成本的有效途径,增强企业市场的竞争力。-enterprise management system with links to the main line enterpri
  3. 所属分类:OA系统

    • 发布日期:2008-10-13
    • 文件大小:190844
    • 提供者:不是神
  1. com_C

    0下载:
  2. 一个比较好的C语言串口通信的例子,不含有其他病毒。-a fairly good C serial communication example, does not contain other viruses.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:141942
    • 提供者:孙九生
  1. yiqun1

    0下载:
  2. 一种蚁群算法的源程序 大家看看,如果需要联系我 还有其他的-an ant colony algorithm we look at the source code, if I need to contact the other
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:3009
    • 提供者:刘澄玉
  1. box_man_1017

    0下载:
  2. 推箱子游戏源码 使用VC开发的推箱子游戏,和其他不同的是加入了求解算法。-Tuixiangzi game FOSS use VC Tuixiangzi development of the game, and the other is the introduction of the algorithm.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:116950
    • 提供者:WangQiang
  1. NTXDIR

    0下载:
  2. CLIPPER NTX 文件游览 软件语言: 简体中文 软件类型: 国产软件 / 免费版 / 其他程序 运行环境: Win9x/NT/2000/XP/ 软件大小: 2KB -CLIPPER PCH document Tour software Language : English software types : Domestic software / free version / other procedures environment : Win9x/NT/2000/
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:2497
    • 提供者:里米
  1. SHELLASM

    0下载:
  2. 软件名称: 汇编语言的SHELL   软件语言: 简体中文 软件类型: 国产软件 / 免费版 / 其他程序 运行环境: Win9x/NT/2000/XP/ -software name : Assembly Language SHELL software Language : English software types : Domestic software / free version / other procedures environment : Win9
  3. 所属分类:进程与线程

    • 发布日期:2008-10-13
    • 文件大小:4662
    • 提供者:里米
  1. WANS

    0下载:
  2. 一个局域网远程唤醒的源代码 可以远程唤醒局域网的其他机器-a remote LAN to awaken the source code can awaken remote LAN other machinery
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:18261
    • 提供者:sdkfsdf
  1. UnLoadDll

    0下载:
  2. 卸载其他进程的加载的DLL 平时都是其他人遍的程序注入我们自己的进程空间 现在我们可以通过这个方法卸载他人注入的d-other unloading process of loading the DLL peacetime are other people all over the procedures into the process of our own space now We can in this way by unloading others injected d
  3. 所属分类:钩子与API截获

    • 发布日期:2008-10-13
    • 文件大小:27386
    • 提供者:lyyer
  1. 949327266

    1下载:
  2. 准算法模块是集DES、3DES、AES、RSA、MD5、BlowFish、TEA、RC6等标准算法为一体的算法包,同时在模块中使用了Anti-Debug和程序自校验功能(涉及到软件的加壳和CRC32)、软件使用次数限制,更好的保护您的软件。在模块中作者给出了每种算法详细调用方法,使用者可以根据自己的需要来进行选择。现暂时给出在VB、VC、Delphi三种开发语言中的调用方法,其他语言的调用方法可与我们联系获得,具体可以参看例子程序;现更新DLL可能被破解者替换的漏洞,并对DLL进行了加壳,增加了
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:234448
    • 提供者:马克
  1. GPS_LCD

    0下载:
  2. 本人在DSP BF533上开发的控制GPS的程序,GPS通过串口控制,每秒钟返回当前经纬度,俯仰角,速度等信息。并在液晶上显示!C语言形式方便移植到单片机,等其他嵌入式环境!开发环境visual dsp3.5(ADI公司产品)-I BF533 DSP development on the control procedures for GPS, GPS control through serial port, per second to return to the current latitude
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:35134
    • 提供者:lbqgr
  1. drv_1161

    0下载:
  2. pcf8563的读写程序,也可移植到其他模拟iic总线的器件上-pcf8563 literacy procedures, but also to other transplant iic Analog Devices on Bus
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1220
    • 提供者:asd
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com