CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 协议转换

搜索资源列表

  1. TextExchangeSrc12源代码

    0下载:
  2. 本软件用于将文本的字符串转换为 Text、ASCII、Default、Unicode、BigEndianUnicode、UTF-8、UTF-7、GBK、BIG5 之间的互相转换,用于文本查询。转换结果使用十六进制表示。   本软件是一个开放源代码软件,遵循 GPL 协议,版权属于所有开发者共同拥有,对于使用本软件源代码的任何软件,也必须使用 GPL 协议采用开放源代码的方式发布,具体细节请参见 license.txt 。-software for the text string to
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:34205
    • 提供者:lee
  1. 虚拟串口驱动程序v2.5

    1下载:
  2. 能实现串口与tcp/ip 协议间的转换 -achieve with tcp / ip agreement between the switch
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1791994
    • 提供者:chouychou
  1. fang_ucapture

    0下载:
  2. 截包的需求一般来自于过滤、转换协议、截取报文分析等。 过滤型的应用比较多,典型为包过滤型防火墙。 转换协议的应用局限于一些特定环境。比如第三方开发网络协议软件,不能够与原有操作系统软件融合,只好采取“嵌入协议栈的块”(BITS)方式实施。比如IPSEC在Windows上的第三方实现,无法和操作系统厂商提供的IP软件融合,只好实现在IP层与链路层之间,作为协议栈的一层来实现。第三方PPPOE软件也是通过这种方式实现。 截取包用于分析的目的,用“抓包”描述更恰当一些,“截包”一般表示有截断的能力,“
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:564302
    • 提供者:叶红
  1. vismgsrc

    0下载:
  2. 短信网管的原代码。用于与sp通信,与gns通信。实现smpp和联通内部协议间的转换-SMS Management of the original code. With sp for communications, and communications Gns. Unicom-and to achieve agreement between the internal conversion
  3. 所属分类:手机短信编程

    • 发布日期:2008-10-13
    • 文件大小:90792
    • 提供者:付源
  1. fgf

    0下载:
  2. 设定方法简单,可自订便利的拨号方式,直接打出长途电话。 可同时结合语音、传真、数据、影像做传输,使宽频的成本大幅下降。 支持 NAT (地址转换) 、其它H323协议-set method is simple, convenient set the dial-up approach and direct long distance calls. May also combine voice, fax, data, video transmission done, broadband costs
  3. 所属分类:TreeView控件

    • 发布日期:2008-10-13
    • 文件大小:25624
    • 提供者:赵红涛
  1. SPI转I2C

    1下载:
  2. SPI协议至IIC协议转换的verilog代码(SPI protocol to IIC protocol conversion Verilog code)
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:945152
    • 提供者:昊天一怪
  1. Src

    0下载:
  2. 通过RS485通信,对收到的电压,电流,转速信号进行协议转换,然后在显示屏上动态显示。(Through RS485 communication, the received voltage, current, speed signal protocol conversion, and then displayed dynamically on the screen.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-13
    • 文件大小:6798
    • 提供者:cc_huo
  1. 装置脚本编写教程

    1下载:
  2. modbus规约(通瑞通讯机脚本程序例子)(modbus protocols program by lua)
  3. 所属分类:其他

    • 发布日期:2018-01-05
    • 文件大小:460800
    • 提供者:珠海老牛
  1. http_2_xml

    0下载:
  2. 将http文件转换xml文件格式,VC 生成DLL的源代码,便于文件或协议传输。(Convert HTTP files to XML file format, VC source code to generate DLL, to facilitate file or protocol.)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-06
    • 文件大小:3471360
    • 提供者:vision2sky
  1. 新南网报文解析V6.4.8

    2下载:
  2. 南方电网2013规约解析软件,调试协议转换时使用(Analysis software for the 2016 protocol of the Southern Power Grid)
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2018-01-11
    • 文件大小:557056
    • 提供者:yuhc
  1. HART转USB

    2下载:
  2. 松茂USB转HART调制解调器内置24V电源 HART协议转换器
  3. 所属分类:其它文档

    • 发布日期:2018-03-16
    • 文件大小:999182
    • 提供者:smdz18105734868
  1. HART通道相互隔离转换器

    1下载:
  2. SM100-W系列HART智能转换器是采用ARM微处理器、HART协议调制解调专用芯片并结合大量的实践经验所研发的产品。
  3. 所属分类:其它文档

    • 发布日期:2018-04-09
    • 文件大小:969612
    • 提供者:smdz18105734868
  1. STC12C5A60S2 MLX90614 源程序

    0下载:
  2. 实现了stc12c5a60s2单片机与温度传感器MLX90614之间的通讯,实现温度传感器的单片机读写,协议转换。(The communication between STC12C5A60S2 microcontroller and temperature sensor MLX90614 is realized, and the read-write and protocol conversion of MCU for temperature sensor are realized.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-06
    • 文件大小:824320
    • 提供者:sdmylyq
  1. UbxConvert

    1下载:
  2. ublox协议转换matlab代码,转换ublox协议,已验证通过(Ublox protocol converts matlab code, converts ublox protocol, has been verified)
  3. 所属分类:GPS编程

    • 发布日期:2020-04-25
    • 文件大小:5120
    • 提供者:Limit112
  1. DALI8.26

    0下载:
  2. DALI智能照明系统实现的主要功能是用户可通过智能手机或电脑等,以无线或有线的方式对房内的所有灯光进行单独或者组合控制。主控单元负责协议转换,并且需要记住最近或者常用的场景控制状态,实时地控制每一个从节点。DALI主机可以查找所有的DALI下位机位置并分配相应地址和进行相应控制。 主要功能如下: 1)以安卓智能手机为操作平台对灯具进行单灯控制或分场景进行控制; 2)以平板或PC为操作工具,对系统内的所有灯具进行场景编辑; 3)通过软件对系统内的灯具地址进行自动分配; 4)支持数据的实时
  3. 所属分类:单片机开发

    • 发布日期:2021-03-25
    • 文件大小:3788800
    • 提供者:烟雨平生
  1. 基于XY2-100协议的振镜控制转换板的设计与实现

    1下载:
  2. 基于XY2-100协议的振镜控制转换板的设计与实现(Design and implementation of galvanometer control conversion board based on XY2-100 protocol)
  3. 所属分类:其他

    • 发布日期:2021-03-22
    • 文件大小:1566720
    • 提供者:茶语
  1. Rtsp2WebRtc

    1下载:
  2. 实现了用RTSP协议接入已有流媒体平台或摄像机的视频,通过转码后用WebRTC进行播放,只要支持WebRTC的浏览器或移动端都可以使用。用WebRTC播放监控视频可以处理高版本浏览器不支持插件的情况,且比rtmp、HLS的实时性更高。(It realizes accessing videos of existing streaming media platforms or cameras with RTSP protocol, and playing them with WebRTC after
  3. 所属分类:其他

    • 发布日期:2020-12-22
    • 文件大小:21531648
    • 提供者:mawb
  1. ENC-313

    1下载:
  2. DeviceNet 从站转ModbusTCP从站协议转换网关
  3. 所属分类:其它文档

  1. 硕盟 type c六合一 扩展坞苹果电脑转换器

    0下载:
  2. 硕盟SM-T66是一款Type C 转(HDMI+LAN+PD+USB3.0*3)的六合一扩展坞,如今很多电脑使用单独Type-C接口,抛弃了USB接口。虽然从外观上看机身更简约轻薄,设计更加一体化。不过电脑接口少,在日常使用时也不方便,想要满足不同使用场景的需求,就要准备好转接器,还要随用随拔随插,略微繁琐。所以购买一款外接的扩展坞就显得尤为重要了。您可以将含有USB Type C 3.1协议的电脑主机,通过此产品连接到具有HDMI的显视器、电视机或其他显示设备。产品可以接入硬盘、U盘、鼠标和
  3. 所属分类:软件工程

    • 发布日期:2021-10-15
    • 文件大小:9571840
    • 提供者:TEL13632775601
  1. letpos-MDB协议非现金刷卡金额转换为脉冲输出给自动售货机

    0下载:
  2. letpos可以将MDB协议接口的支付设备的金额,转换为脉冲输出。脉冲的金额,宽度,电平都是可以设置的。PRO版本还可以支持mdb的always idle模式等。
  3. 所属分类:编程文档

    • 发布日期:2023-11-26
    • 文件大小:621809
    • 提供者:depe****
« 1 2 3 4 5 6 78 9 10 11 12 ... 19 »
搜珍网 www.dssz.com