CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 基于MATLAB的通信系统仿真

搜索资源列表

  1. CDMAgonglvkongzhiMATLABfangzhen

    2下载:
  2. 【摘  要】论文总结了CDMA通信系统功率控制的目的和方法,重点介绍了基于信噪比的功率控制算法,最后利用 MATLAB工具,对开闭环结合的功率控制方法进行了仿真,给出了实验结果。 【关键词】 CDMA  功率控制  MATLAB仿真 -Abstract paper summarizes the CDMA system power control method and the objective, focus on the signal-to-noise ratio on the
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:346650
    • 提供者:古风
  1. simulate

    0下载:
  2. 循环冗余校验CRC (Cyclic Redundancy Check)码是由分组线性码的分支而来,其主要应用是二元码字。编码简单且误判概率很低,在通信系统中得到了广泛的应用。循环冗余校验码是属于分组码中的一类重要的线性码,它不仅在理论上具有很好的代数结构,而且其编码和译码可以通过线性移位寄存器很容易地实现。 通过对CRC的分析和基于MATLAB工具的仿真,充分证明了CRC的检错能力很强,编码简单。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:7837
    • 提供者:王明
  1. 单片机类毕业设计参考论文

    7下载:
  2. 这个有很多论文,绝对是好东西,不说好不收费,每个设计包含论文、原代码,个别的有PCB,请下载者仅做参考 16×16点阵(滚动显示)论文+程序.rar  cdma通信系统中的接入信道部分进行仿真与分析.rar  LED显示屏动态显示和远程监控的实现.rar  USB接口设计.rar  毕业设计(论文)OFDM通信系统基带数据.rar  仓库温湿度的监测系统.rar  单片机串行通信发射机.rar  
  3. 所属分类:文档资料

    • 发布日期:2009-01-13
    • 文件大小:8684363
    • 提供者:wjx168
  1. mcudesign

    3下载:
  2. 单片机设计,毕业设计 16×16点阵(滚动显示)论文+程序 cdma通信系统中的接入信道部分进行仿真与分析 LED显示屏动态显示和远程监控的实现 MCS-51单片机温度控制系统 USB接口设计 毕业设计(论文)OFDM通信系统基带数据 仓库温湿度的监测系统 单片机串行通信发射机 单片机课程设计__电子密码锁报告 单片机控制交通灯 电动智能小车(完整论文 电气工程系06届毕
  3. 所属分类:SCM

    • 发布日期:2014-10-22
    • 文件大小:8663936
    • 提供者:zhangyun
  1. GoldsequenceCDMAsimulation

    0下载:
  2. 写一个MATLAB程序,实现4个时间同步的CDMA用户的仿真,其中每个用户都使用长度为L=31的不同的Gold序列。每个用户都采用它们代表的Gold序列的二进制(+-1)调制。每个用户的接收端将这个复合的CDMA接收信号相关,而这个复合的信号都在它们各自的序列上受到AWGN的污损(基于按码片相加)。在N=10000信息比特下,仿真估值并画出作为SNR的函数的CDMA通信系统的差错概率。-Write a MATLAB program, the realization of the four t
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:4754
    • 提供者:戴路
  1. OFDM

    1下载:
  2. 本文在分析移动无线信道衰落特性的基础上,阐述了OFDM系统的基本原理,主要研究了OFDM通信系统中的基于导频符号的信道估计问题,分析了几种经典的信道估计算法以及相关的关键技术环节。 在此基础上介绍了OFDM技术在现实中的一个应用——WLAN IEEE802.11a系统模型,利用Matlab仿真软件完成OFDM系统信号生成、噪声生成、导频插入、循环前缀、调制、解调等部分的设计,最后结合计算机仿真对系统关键技术(导频、循环前缀、估计算法)的有效性和可行性进行了全面的分析与比较。适合matlab研究-
  3. 所属分类:matlab

    • 发布日期:2014-04-15
    • 文件大小:1339259
    • 提供者:赵洪森
  1. AS3991Reader

    0下载:
  2. 本文结合超高频RFID读写器的发展趋势,提出了一种基于EPC Gen2标准的 915MHz超高频RFID读写器设计,并完成了系统测试。涉及的主要工作有: 1.分析了EPC Gen2标准的技术特点和各项指标,研究了UHF RFID读写器的 系统原理和基本结构,详细探讨了整个系统通信链路的传播特点。 2.分析了读写器射频电路。MCU采用单片机C8051F340,射频芯片采用奥地利 微电子的高集成度UHF RFID读写芯片AS3991。完成了硬件电路的搭建和测 试。 3.设
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4340827
    • 提供者:HY jian
  1. ciogdack

    0下载:
  2. 基于Matlab编写的MIMO通信系统仿真,代码是在课设的报告;包含数字通信系统 mxvEQz仿真流程,实现基本的信道编译码、调制解调等通信模块。学习并实现MIMO空时处理技术学习性能分析的思路和方法,包含 OpKKvSq解码算法。-Based on the Matlab MIMO communication system simulation, code is class-based reporting mxvEQz contains digital communication system
  3. 所属分类:matlab

    • 发布日期:2017-05-01
    • 文件大小:8291
    • 提供者:rvuwga
  1. ctwwrofd

    0下载:
  2. 基于Matlab编写的MIMO通信系统仿真,代码是在课设的报告;包含数字通信系统 QfvNft仿真流程,实现基本的信道编译码、调制解调等通信模块。学习并实现MIMO空时处理技术学习性能分析的思路和方法,包含 tCQnnrm解码算法。-Based on the Matlab MIMO communication system simulation, code is class-based reporting QfvNft contains digital communication system
  3. 所属分类:matlab

    • 发布日期:2017-05-01
    • 文件大小:9367
    • 提供者:cdngqt
  1. cxtyfaxo

    0下载:
  2. 基于Matlab编写的MIMO通信系统仿真,代码是在课设的报告;包含数字通信系统 FJOCzQ仿真流程,实现基本的信道编译码、调制解调等通信模块。学习并实现MIMO空时处理技术学习性能分析的思路和方法,包含 ELWzXnt解码算法。-Based on the Matlab MIMO communication system simulation, code is class-based reporting FJOCzQ contains digital communication system
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:4144
    • 提供者:lpyups
  1. deric

    0下载:
  2. 基于matlab的直接序列扩频通信系统仿真。这种技术是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端,用与发端扩展用的相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信息。-matlab direct sequence spread spectrum communication system based on. This technology is an extension of information to be transmitted with a pseud
  3. 所属分类:matlab

    • 发布日期:2017-04-29
    • 文件大小:17988
    • 提供者:city
  1. OFDM

    0下载:
  2. 基于Matlab 构建一个完整的OFDM 动态仿真系统。目的是用Matlab 代码仿真 OFDM 信号的产生、发送、信道、与接收。以OFDM 系统在数字视 频广播(DVB )应用为例进行仿真。此仿真系统能够很好地模拟OFDM 传输系统,为进一步深入研究OFDM 通信系统提供了便利。(OFDM Simulation Based on MATLAB)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-29
    • 文件大小:11264
    • 提供者:Vanishming
  1. 计算机通信仿真课程设计

    0下载:
  2. BPSK与模拟通信系统相比,数字调制和解调同样是通过某种;在大多数情况下,数字调制是利用数字信号的离散值去;三种数字调制方式在抗干扰噪声能力和信号频谱利用率;2BPSK调制原理;二进制相移键控(BPSK)是利用基于MATLAB中的BPSK误码性能研究; MATLAB提供了卷积码的convenc和相应的Viterbi译码函数vitdec,可以快速地得到编译码的结果。(BPSK compared with the analog communication system, digital modul
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:48128
    • 提供者:BBT995
  1. 5744182

    0下载:
  2. 基于Matlab的CDMA通信系统仿真 包括20个M文件,一个pdf说明文件,大家自己研究吧!()
  3. 所属分类:网络编程

    • 发布日期:2018-01-09
    • 文件大小:66560
    • 提供者:reerid
  1. UGCEN

    0下载:
  2. 通信工程系统仿真设计基于MATLAB的GMSK和FSK的设计()
  3. 所属分类:android开发

    • 发布日期:2018-01-09
    • 文件大小:174080
    • 提供者:ypencek
  1. 84814777

    0下载:
  2. 基于Matlab的CDMA通信系统仿真 包括20个M文件,一个pdf说明文件,大家自己研究吧!()
  3. 所属分类:Windows编程

    • 发布日期:2018-04-21
    • 文件大小:66560
    • 提供者:sdire
  1. SWGQYI

    0下载:
  2. 通信工程系统仿真设计基于MATLAB的GMSK和FSK的设计()
  3. 所属分类:android开发

  1. QPSK&OFDM

    1下载:
  2. 基于 MATLAB 对移动通信中的 BPSK、QPSK、16QAM 和 OFDM 基带传输系统进行仿真,分析它们在 AWGN 和 Rayleigh 信道下的误码率性能。重点建立了基于 QPSK 和 16QAM 调制方式下 OFDM 系统的仿真,比较两种调制方式在 Rayleigh 信道下的传输性能,分析了系统模型,提出了代码优化方案。(Based on MATLAB, we simulate the BPSK, QPSK, 16QAM and OFDM baseband transmission
  3. 所属分类:通讯编程

    • 发布日期:2018-04-30
    • 文件大小:5120
    • 提供者:yellow17
  1. 84144823

    0下载:
  2. 通信工程系统仿真设计基于MATLAB的GMSK和FSK的设计()
  3. 所属分类:Windows编程

    • 发布日期:2018-04-30
    • 文件大小:174080
    • 提供者:Dixnf
  1. PDMA.m

    4下载:
  2. 基于Matlab的PDMA通信系统BLER随SNR的变化仿真(simulation of PDMA communication system)
  3. 所属分类:其他行业

    • 发布日期:2021-03-09
    • 文件大小:2048
    • 提供者:Aliang122
« 1 2 ... 4 5 6 7 8 910 11 »
搜珍网 www.dssz.com