CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 无线局域网

搜索资源列表

  1. 3241123125436567

    0下载:
  2. 无线局域网网络优化和性能提升,希望新手们参考-Wireless LAN network performance optimization and the hope that new reference
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2140207
    • 提供者:123
  1. www_dssz_com_35738614mx27_wince5[1][1].0_bsp

    0下载:
  2. LP1071 无线局域网卡WinCE驱动程序 -LP1071 driver
  3. 所属分类:Windows CE

    • 发布日期:2017-03-30
    • 文件大小:846390
    • 提供者:zz
  1. the-research-and-reality-of-the-WLAN

    0下载:
  2. 通过对WLAN无线局域网安全的现状分析,结合其自身实际工程经验,对WLAN安全技术进行全面总结-Through the wireless LAN security, WLAN analysis, combined with practical engineering experience of its own, on the WLAN security technology to conduct a comprehensive summary
  3. 所属分类:Communication

    • 发布日期:2017-05-11
    • 文件大小:2813735
    • 提供者:肖飒
  1. WLAN_Protocol_Analysis_System

    0下载:
  2. 提供一种分布式协议分析系统,给出了无线局域网协议分析的方法及数据采集设备的设计与实现-Protocol analysis to provide a distributed system, given the wireless local area network protocol analysis methods and data acquisition equipment Design and Implementation
  3. 所属分类:Linux Network

    • 发布日期:2017-04-06
    • 文件大小:236496
    • 提供者:曾小兵
  1. ADF4360-7(350-1800)

    0下载:
  2. 介绍了ADF4360-8芯片的功能、内部结构、引脚排列及典型的应用电路及其评估板。ADF4360-8是集成的整数N合成器和压控振荡器(VCO)。芯片内嵌一个基准输入部分、N计数器和R计数器、相位频率检波器(PFD)和充电泵、多路复用器和锁定检波器、输入移位寄存器、控制锁存器、N计数锁存器、R计数锁存器。它可用于产生系统时钟,作为测试设备,用于无线局域网(LAN),作为闭路电视(CATV)设备。ADF4360-8EB1评估板可以让用户评估ADF4360-8频率合成器PLL的性能。 -Intro
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-03-29
    • 文件大小:400131
    • 提供者:庄乾章
  1. acs4.0-build-24

    3下载:
  2. Cisco Secure ACS 4.0 CISCO SECURE ACCESS CONTROL SERVER 4.0 FOR WINDOWS 思科® 安全访问控制服务器为思科智能信息网络提供基于身份的全面的访问控制解决方案。它是用于管理企业网络用户、管理员和网络基础设施资源的集成和控制层。 Cisco® Secure ACS (ACS)是具高可扩展性的高性能访问控制服务器,可作为集中的RADIUS 和 TACACS+ 服务器运行。Cisco Secure ACS将验证
  3. 所属分类:Network Security

    • 发布日期:2017-10-30
    • 文件大小:44461457
    • 提供者:蔡建国
  1. if_ath_pci

    0下载:
  2. Unix下FreeBSD的AtherosPCI总线无线局域网控制驱动-PCI/Cardbus front-end for the Atheros Wireless LAN controller driver.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:2690
    • 提供者:liu jiani
  1. OFDM_simulation

    0下载:
  2. OFDM在无线局域网下的模拟仿真!用Matlab编写!-OFDM simulation code for WLAN, witten in Matlab!
  3. 所属分类:3G develop

    • 发布日期:2017-04-02
    • 文件大小:32833
    • 提供者:David Lee
  1. RS23(uart)-WiFi

    3下载:
  2. 串口- 无线局域网wifi模块 Wi-Fi(wlan 无线局域网)作为当今无线领域最为热门的一个技术, 已经应用到各行各业中。它使用TCP标准通讯,技术成熟可靠,传输速率快,各种配套的网络设备十分丰富, 使用者接受程度最高, 全球免费使用,是区域无线通讯的首选方案。 SOC芯片,性价比极高,质量稳定,内置WiFi协议栈和串口传输协议,接口信号5V、地、RX 、TX四线连接; ◆ 模块简洁、体积小,22*60*5 mm; ◆ 用户接口开发简单快捷,串口驱动
  3. 所属分类:SCM

    • 发布日期:2015-07-07
    • 文件大小:806690
    • 提供者:陈坚胜
  1. 802.11-tutorial.pdf

    0下载:
  2. 此文档描述了IEEE802.11无线局域网协议标准,详细地描述了802.11MAC子层,内容设计802.11a和802.11b物理层的标准以及802.11eMAC子层标准。-This document describes IEEE 802.11 Wireless Local Area Network (WLAN) Standard. It describes IEEE 802.11 MAC Layer in detail and It briefly mentions IEEE 802.11
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-04
    • 文件大小:277776
    • 提供者:李峰
  1. 802.11r-2008

    0下载:
  2. IEEE802.11r草案,定义了802.11无线局域网中关于快速服务集切换的内容。-IEEE802.11r draft ,defines the 802.11 wireless LAN switch on the fast track service sets .
  3. 所属分类:Project Manage

    • 发布日期:2017-05-06
    • 文件大小:1212158
    • 提供者:lily
  1. BREWDocAndCodePartII

    0下载:
  2. BREW高阶技术培训课程介绍: BREW平台与演进 BREW3.1图像处理高级编程 BREW多媒体接口 IVocoder ICamera接口 常用接口介绍 BREW3.1文件系统 BREW3.1SMS新接口使用方法 BREW语音呼叫业务 BREW3.1.5SP01触摸屏功能使用 IThread及IRscPool接口使用 BREW 面向对象特点及其应用 基于socket的网络编程 DNS的使用 基于HTTP的应用开发 无线局域网的使用
  3. 所属分类:Communication

    • 发布日期:2017-05-19
    • 文件大小:5440865
    • 提供者:Hill
  1. Driver_3205

    0下载:
  2. RT系列无线局域网卡配套驱动,用于在linux环境下启用无线网络,对于适用ASUS无线网卡用户适用。-RT Series Wireless LAN card supporting drivers for the linux environment, enable wireless networks, ASUS wireless card for the application of the user application.
  3. 所属分类:Linux Network

    • 发布日期:2017-05-04
    • 文件大小:1441952
    • 提供者:黄鸿强
  1. UART-WLAN

    0下载:
  2. Wi-Fi(wlan 无线局域网)作为当今无线领域最为热门的一个技术, 已经应用到各行各业中。它使用TCP标准通讯,技术成熟可靠,传输速率快,各种配套的网络设备十分丰富,使用者接受程度最高, 全球免费使用,是区域无线通讯的首选方案。 SOC芯片,性价比极高,质量稳定,内置WiFi协议栈和串口传输协议,接口信号5V、地、RX 、TX四线连接; ◆ 模块简洁、体积小,22*60*5 mm; ◆ 用户接口开发简单快捷,串口驱动 ,内置协议栈,无需操作系统支持; ◆ MSC51/
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:521274
    • 提供者:陈坚胜
  1. IEEE802.11

    0下载:
  2. 基于IEEE802.11的无线局域网直序扩频系统在SystemView上的仿真-IEEE802.11-based direct-sequence spread spectrum wireless LAN system simulation on SystemView
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:6499
    • 提供者:荣慰
  1. aodv

    0下载:
  2. 基于NS2仿真的AODV协议脚本文件. NS2(Network Simulation Version 2)是一种功能强大的网络模拟仿真器,它是用C++和OTCL两种语言完成的。C++语言编写协议执行模块,OTCL语言编写模拟任务。NS2主要针对有线局域网、无线局域网、Ad Hoc网络、卫星通信网、路由选择协议、组播路由协议等进行网络模拟仿真。 -NS2-based simulation of AODV protocol scr ipt file. NS2 (Network Simulatio
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-24
    • 文件大小:750
    • 提供者:Johnny
  1. 3

    0下载:
  2. 无线局域网802.11协议CSMACA算法模拟程序-Wireless LAN 802.11 CSMACA algorithm simulation program
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:50160
    • 提供者:xiaowu
  1. 802.11-1999

    0下载:
  2. 本书可供从事IEEE802.11无线局域网的技术人员及有关院校师生阅读。主要包括IEEE802.11无线局域网的基本概念,MAC层的服务定义与规范、帧结构、功能、管理实体;鉴权与加密;物理层的服务定义;IEEE802.11无线局域网的应用等。-This book is available in IEEE802.11 wireless LAN technologies and related institutions to students read. Include the basic conc
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-20
    • 文件大小:5768101
    • 提供者:apple
  1. IEEE802_11n_in_4G

    0下载:
  2. 本文结合IEEE802.11n 标准分析了4G 中的关键技术,并对其应用前景进行了一定展望,对于我国无线局域网标准的选择,具有一定研究意义。-This paper analyzes 4G IEEE802.11n standards in key technology and its application prospect some prospects for China' s WLAN standard choice of a certain significance.
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:133561
    • 提供者:余伟
  1. 802-2001[1]

    0下载:
  2. 802是Ieee制定的无线通讯及局域网通讯的标准此文件是ieee2001年制定的无线局域网标准-Ieee 802 is the development of wireless communications and local area network communications standards enacted in this document is ieee2001 wireless LAN standard
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-04
    • 文件大小:387771
    • 提供者:jhon
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com