CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 网络工程

搜索资源列表

  1. FlashDown_net

    0下载:
  2. 是周立功ARMsmart2200开发板用网络连接必须的工程文件-ARMsmart2200 development board connected with the project must document
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:344787
    • 提供者:张厂
  1. mohusjwl

    0下载:
  2. 模糊神经网络在现代工程领域得到很广泛的应用,在优化神将网络结构和性能上有很大帮助-fuzzy neural networks in modern engineering fields to be very widely used, God will optimize the network structure and capabilities will be of great help
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:1821
    • 提供者:无机
  1. Libnids-1.19-for-win32

    1下载:
  2. windows平台下的libnids,最新版本libnids 1.19 for win32,内有VC++工程.可以根据需要修改源码,也可以利用库文件方便地重组TCP等,进行协议还原,构造自己的网络入侵检测系统.-windows platform under the libnids. libnids latest version 1.19 for win32, VC works there. may need to modify the source codes, it can also be u
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:289638
    • 提供者:yubai
  1. 3_117

    0下载:
  2. 后台路径:manage 用户名和密码都是admin 主要特点:自由定制所有页面,加大产品宣传力度,功能全部静态控制,帮助企业赢利!西政科技2007版产品展示系统是企业网站管理最得手的一大应用软件,她不在局限于企业网站通用和无力的模式,打破以往企业站“仅仅是面子工程”的尴尬局面,大量应用企业需要的功能,提升网站效能建设,全部界面均可以按照企业需要自行设置,核心在于提升竞争力,全面倡导用户权利,在网络兴盛时代,为企业做重要的网络支柱是我们的任务,也是我们设计本系统的原意。西政科技产品展示系统2007
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1510166
    • 提供者:高天
  1. WaveletVideoPlayer

    0下载:
  2. < VISUAL C++ 小波变换技术与工程实践>>书中所附程序:小波变换在网络视频回放中的解码应用
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:50795
    • 提供者:郑晶晶
  1. net_chat_chat

    0下载:
  2. 支持语音聊天的网络程序,开发这方面的工程可以参考下,还是非常不错的-voice chat support network procedures, the development of those projects will be under reference, or very good. .
  3. 所属分类:ICQ/即时通讯

    • 发布日期:2008-10-13
    • 文件大小:62994
    • 提供者:fengke
  1. vcshen

    0下载:
  2. 神经网络源代码和部分修改的东西,----工程-neural network source code changes and some things work ,----
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:3791
    • 提供者:shiy
  1. Securitynetwork

    0下载:
  2. 此书是一本介绍网络安全的书籍,和现代软件工程密切相关.-book is a network security on the books, and modern software engineering closely related.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11857193
    • 提供者:罗实
  1. java_winsock

    0下载:
  2. java网络高级编程,这里包含本书的大部分源程序,读者需要调试程序时可以直接从这里拷贝到自己的相应目录下面。 本书中的每一章都单独作为一个目录,例如“chap1”中就包含了第一章中的源程序清单。 读者在查找文件时,首先到相应的目录下面去查找,如果没有则表明该章原文中有两个相同的文件名,则用户可以到该章相应的子文件夹中查找。例如读者查找“源程序清单7-6”,而该章中同时具有好几个文件名都命名为相同的MainFrame,则读者可以先到“chap5”目录下面去查找MainFrame.java,
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:145895
    • 提供者:王波
  1. D9D446FD

    0下载:
  2. 数字水印技术模型表示及其算法研究辛海涛(哈尔滨商业大学计算机应用与工程学院,黑龙江哈尔滨15(1028)摘要:随着多媒体技术和网络技术的广泛应用,对图像、音频、视频等多媒体内容的保护成为迫切的问题“数字水印作为版权保护的重要手段和一种新型的信息隐藏方法,近几年得到了迅猛发展”.对当前数字水印分类,典型原理和算法,水印的提取、检测,数字水印以及相关技术做了论述,并对未来的研究方向作出展望.-digital watermarking technology model and algorithm re
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:281054
    • 提供者:ghostsx
  1. avr+cp2200_source

    0下载:
  2. m16+cp2200组成的网络接口。 m16使用内部RC振荡8M,如果要提高主频,请注意修改模拟总线读函数。 cp2200模块使用www.icdev.com.cn的,更改pin44连接VCC,即总线复用方式,地址数据复用。 软件编写使用avrstudio4.12+sp4+winavr(avrstudio自带的winavr嵌入方式)。 硬件连接: m16 | cp2200 PORTA | AD0-7 PB0 | RST PB1 | CS PB2 | RD P
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:101751
    • 提供者:刘晓军
  1. pfw

    0下载:
  2. 主要功能如下: 1:端口与进程关联显示 2:进程以及加载模块信息 3:允许/拒绝应用程序访问网络 4:启用/停用网卡 5:本地嗅探 工程也发一下吧,都是很老的东西了,感兴趣的可以看下:) 名字叫PFW是那个时候老师要求做的。所以,我还是叫他个人安全工具吧。 代码有点乱,有任何问题,可以联系: MSN:redcoder@163.com-main function is as follows : 1 : Ports process associated with
  3. 所属分类:防火墙与安全工具

    • 发布日期:2008-10-13
    • 文件大小:70438
    • 提供者:李大叶
  1. MSSniffer

    0下载:
  2. MSSniffer工程是网络嗅探程序的GUI版本 它使用了树型控件动态地显示流经本机的网络封包-MSSniffer project is a network sniffer procedures it uses GUI version of the tree to a dynamic control said the plane running through the network packets
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:81355
    • 提供者:zhenglilei
  1. 20070426

    1下载:
  2. 基于完成端口的TCP网络通信框架实现 工程iocp中包含了框架实现的所有代码,工程server和client是对该框架的简单测试 和应用。框架实现了基于消息的TCP网络通信,(避免了TCP的数据“粘连”问题)并进 行了封装,封装后的界面iocpapi.h非常简洁,应用程序代码只需要简单包含 iocpapi.h,就可以实现自己的网络应用.具体例子可以参考server和client代码提供 的两个类分别用于服务器端和客户端(一般来说客户端不用管理大量连接,所以有点 杀鸡用牛刀
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:46960
    • 提供者:tanglongsh
  1. TestColtTempator

    0下载:
  2. 由于工作关系几个月没有接触Internet了,回来发现很多朋友给我写了信,其中很多一部分都是关于串口方面出现了问题。由于在以前的工程实施过程中关于串口通讯我有时用MSCOMM控件,有时自己用WIN32 API直接操作串口。后来还试验了网络上面很流行的SerialPort类。在工业控制过程中间我对SerialPort类进行了一些简单的修改,发现这个类确实是一个非常好的东西,其中封装了操作串口复杂的一些串口操作。我们使用的时候可以直接通过它的一些简单的接口就可以完成各种外围设备的工作了。我对串口数量
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:76404
    • 提供者:郑金华
  1. sanxiang

    0下载:
  2. 使用本软件,可计算出中小型工程三相短路电流。 若已确认但尚未进行下步计算之前,发现输入的支路类型或数据有误,可单击窗体另行输入。 计算三相短路电流时,请确认短路点编号及对应的网络平均电压。 双击窗体,可以打印出窗体上的图象。但,应将打印机预设为A4纸型,横向打印。 文件打印至硬盘,可用Word软件处理后存档及打印。 本软件不采用通常的网络变换。而先建立电导增广矩阵,解此矩阵即可求出各节点电位以及各支路电压降、电流。之后,方按不同电源类型,分别采用恒定电压或机组运转曲
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:53952
    • 提供者:三毛
  1. CDMAsystem

    1下载:
  2. CDMA系统工程手册,系统阐述了CDMA蜂窝移动通信系统的基本原理,关键技术,体制标准,工程设计和网络优化等方面的知识。-CDMA systems engineering manual, a systematic exposition of CDMA cellular mobile communication system to the basic principles The key technical, institutional standards, engineering design
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2579264
    • 提供者:李灿
  1. gameofgo

    0下载:
  2. 本系统是一款网络棋类游戏。采用MFC环境,使用C++语言编制。建立工程,使用文档结构,在客户区画出棋盘,棋子等。-system is one of the network chess game. Using MFC environment, the use of C language. Building works, use the file structure, the client painted checkerboard areas, such as a pawn.
  3. 所属分类:其他智力游戏

    • 发布日期:2008-10-13
    • 文件大小:77824
    • 提供者:刘放晴
  1. Internet_Puker

    0下载:
  2. 一个网络对战扑克游戏的源代码,采用socket连接。JBuilder工程文件-a network war poker game's source code, using socket connection. JBuilder project documents
  3. 所属分类:其他智力游戏

    • 发布日期:2008-10-13
    • 文件大小:64386
    • 提供者:王云刚
  1. zhpf10_0622

    1下载:
  2. 本系统无论是现在还是将来的升级的版本均免费。学生综合测评分也叫综合分或者纪律分,是当代大学中评价学生在学习之外的表现的一种手段。当今网络上在学生综合分管理这一块的软件比较少,免费的则更少。自我去年推出学生综合测评分管理系统v12.02以来收到广大朋友要求开发新版本的要求,我在今年5月份的软件工程的作业中选择了《学生综合测评分管理系统》的开发。此版本是对v12.02的全新的开发。-the system either now or in future versions of the upgrade
  3. 所属分类:ASP源码

    • 发布日期:2014-01-15
    • 文件大小:1221115
    • 提供者:国学
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 38 »
搜珍网 www.dssz.com