CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动控制系统

搜索资源列表

  1. WinCE.NETSamples

    0下载:
  2. 《WinCE.NET嵌入式工业用控制器及自动控制系统设计》的源代码-"WinCE embedded controllers and industrial control system design," the source
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:9964405
    • 提供者:嘿嘿嘿嘿
  1. WinCE.NETfffSamples

    0下载:
  2. 《Windows CE .NET嵌入式工业用控制器及自动控制系统设计》的源代码-"Windows CE.NET embedded controllers and industrial control system design, "the source
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:1002804
    • 提供者:嘿嘿嘿嘿
  1. taxiwork

    0下载:
  2. 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:9090
    • 提供者:柑佬
  1. canshuzhengding

    0下载:
  2. 参数整定,用在自动控制系统PID调解中。 代码为实际项目验证过。-parameter tuning, automatic control system used in PID mediation. Code for the actual project tested.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:33211
    • 提供者:噢未能
  1. autopilot

    0下载:
  2. 直升机模型自动控制系统,包括上位机和控制系统-helicopter model control systems, including PC and control systems
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2754560
    • 提供者:孙晓民
  1. simulinkthermal

    0下载:
  2. 介绍了MATLAB软件的SIMULIK的使用方法,阐述了MATLAB软件在火电厂自动控制系统调整中的应用-on MATLAB software SIMULIK of use, MATLAB software described in the thermal power plant control system adjustment of
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:27411
    • 提供者:wenwen
  1. song-robu-i1m

    0下载:
  2. 自动控制回路在3个水箱中的测试和反馈,用于观察自动控制系统的负反馈,和一些基本的运算-control loop in three tanks of testing and feedback, automatic control system for the observation of negative feedback, and some basic arithmetic
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:16147
    • 提供者:songxiaohu
  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control system. The system can complete the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:10240
    • 提供者:daolangliu
  1. 五粮春杯2

    0下载:
  2. 智能鱼缸控制系统,可以实现鱼缸的温度自动控制,水位自动控制,超声波测量水位高度,自动换水功能,液晶显现,同时可以手动键盘控制各项参数。(Intelligent fish tank control system)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:137216
    • 提供者:wang_jian
  1. 陕西森弗科技立体仓库自动化物流仓储系统 - 副本

    0下载:
  2. 自动化立体仓库(AS/RS)是由立体货架、有轨巷道堆垛机、出入库托盘输送机系统、尺寸检测条码阅读系统、通讯系统、自动控制系统、计算机监控系统、计算机管理系统以及其他如电线电缆桥架配电柜、托盘、调节平台、钢结构平台等辅助设备组成的复杂的自动化系统。运用一流的集合。(Automated warehouse (AS/RS) is a three-dimensional shelf, stacker machine, a storage tray conveyor system, the size of
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:1187840
    • 提供者:wangan6886
  1. 家用防盗单片机系统完整程序

    0下载:
  2. 该防盗系统使用热释电红外传感器作为人体探测模块,并将防盗系统设计为两个用户可选择的模式:在家模式和离家模式,当处于在家模式时,如果传感器检测到有人进入监控范围,则自动响起门铃提醒用户有访客,就不会发生误把访客当作盗贼的报警事件了;当处于离家模式时,如果传感器检测到有人进入检测范围,则开启声光报警模块进行报警。同时,该系统为密码控制系统,用户通过输入正确密码才可改变模式和解除警报,这也增强了该系统使用的可靠性。(The anti-theft system using pyroelectric in
  3. 所属分类:单片机开发

  1. 风力摆控制系统源代码

    0下载:
  2. 风力摆自动控制,两个L298电机驱动模块控制直流风机的转速(Automatic control of wind pendulum)
  3. 所属分类:微处理器开发

    • 发布日期:2017-12-26
    • 文件大小:355328
    • 提供者:错过的
  1. 汽车自动变速机构挡位切换控制系统研究

    0下载:
  2. 挡位切换控制 汽车自动变速机构挡位切换控制系统研究(Research on gear switching control system for automatic transmission shift shift control)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-25
    • 文件大小:1590272
    • 提供者:软件77
  1. fract_nyquist

    0下载:
  2. 自动控制系统中分数阶控制系统奈奎斯特图画法,类似于整数阶系统(nyquist plot of fractional-order system)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:5120
    • 提供者:lulu1220
  1. frac_nichols

    0下载:
  2. 自动控制系统中分数阶系统的尼克尔斯图的画法,类似于整数阶(nichols plot of fractional-order system)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:5120
    • 提供者:lulu1220
  1. frac_step

    0下载:
  2. 自动控制系统中分数阶系统的阶跃响应绘制方法,类似于整数阶系统(step response of fractional-order system)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:5120
    • 提供者:lulu1220
  1. 9、模拟智能灌溉系统

    0下载:
  2. 模拟智能灌溉系统,可分时控制,自动控制,手动控制(Simulated intelligent irrigation system can be divided into time control, automatic control, manual control)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:47104
    • 提供者:vipchengrui
  1. 基于matlab飞机自动驾驶仪系统设计

    0下载:
  2. 设计结构图实现飞机自动驾驶,并利用MATLAB中的SIMULINK创建控制系统框图,同时对系统的稳态误差进行分析。(The structure diagram is designed to realize the automatic driving of the aircraft, and the block diagram of the control system is created by using the SIMULINK in MATLAB, and the steady-state
  3. 所属分类:其他

  1. 白酒包装自动码垛机器人的研制_张明

    1下载:
  2. 本文首先利用建立了四自由度白酒包装码垛机器人的三维模型,并对伺服电动机、电机减速器和末端执行器进行了选型。对机械的运动控制进行研究,设汁了机械手控制系统。(In this paper, the three-dimensional model of the four degrees of freedom liquor packing and palletizing robot is set up, and the servo motor, the motor reducer and the ter
  3. 所属分类:文章/文档

    • 发布日期:2018-05-04
    • 文件大小:16569344
    • 提供者:乌哈
  1. 基于51单片机的温湿度自动控制系统

    1下载:
  2. 这是基于单片机的对于系统温湿度的控制,超过一个值就会报警(temperature control once hinger than it ,it will bili)
  3. 所属分类:单片机开发

    • 发布日期:2020-03-10
    • 文件大小:21154816
    • 提供者:slave2.0
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com