CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 面向对象 面向过程

搜索资源列表

  1. ESshellForPlant

    0下载:
  2. 该系统能进行诸如堆高温度和低锅炉效率检测,分析和诊断异常和低效率的工作条件。系统的某些特殊功能包括:在任何单位装载并根据正常和不正常的条件范围内电厂过程仿真。备件是一个工程上使用Gensym的G2的工作站实现面向对象的实时专家系统外壳上的250兆瓦发电机组建模在新加坡举行。是备用获得的知识被编码在工程模型和对象的形式。规则和数学模拟和公式。-A prototype real-time knowledge based system. SPARES (Steam Plant Analysis usi
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-09
    • 文件大小:513350
    • 提供者:韩小峰
  1. ODBCDEMO2

    0下载:
  2. 了简化开发人员编写数据库应用程序,VC++的在其基础类库(MFC)里对ODBC API进行了封装,实现了一个面向对象的数据库编程接口,使VC++的数据库变得更加容易。 本章首先对MFC ODBC的概貌进行简要介绍,然后讲述利用MFC ODBC进行数据库开发的技巧,最后将通过具体数据库开发实例,详细讲述通过MFC ODBC开发数据库应用程序的方法和过程。-Simplify developers to write database applications, VC++ Class Library
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-05-16
    • 文件大小:4594623
    • 提供者:snowolf
  1. ruanjiangongcheng

    0下载:
  2. 软件工程的课件:概述、软件过程、需求工程、软件设计、面向对象分析、软件实现与有效性验证、软件项目管理、软件进化-Software engineering courseware: an overview, software process, requirements engineering, software design, object-oriented analysis, software implementation and validation, software project mana
  3. 所属分类:software engineering

    • 发布日期:2017-05-29
    • 文件大小:11319913
    • 提供者:dsj
  1. phpadvance

    0下载:
  2. 本书全面介绍了应用PHP进行网站开发的各种技术和技巧。全书分为20章,内容包括PHP的运行环境配置、表单及表单元素的应用、CSS与Javascr ipt脚本的应用、验证控件、构建PHP动态网页、PHP与MySQL的数据库技术、PHP与其他数据库技术、SQL查询相关技术、MySQL高级应用技术、字符串的处理技术、PHP面向对象编程技术、文件管理、图像和多媒体技术、信息提取与图表分析技术、报表与打印技术、网络通信技术、PHP与XML技术、安全技术、PHP高级应用技术以及综合应用等。全书共提供了453
  3. 所属分类:source in ebook

    • 发布日期:2017-05-23
    • 文件大小:7353990
    • 提供者:liang
  1. OZ-Computer-Programming(MIT-Press)

    1下载:
  2. oz:多范式语言,  函数型语言(词法域 第一类过程 模式匹配 第一类统一语法),lazy,高阶(可实现非递归的循环),尾递归,描述式编程  面向对象(状态 Stateful/抽象数据类型 多重继承),  并行(多线程)(dataflow(数据流)线程 动态 连续 互影响),  约束编程和逻辑推理(逻辑变量 分离结构 可编程搜索策略),人工智能,  分布式(网络透明的分布式运算 组件编程 自动连接自动断线 共享变量/对象/类/和过程),  网络编程,无状态数据
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-17
    • 文件大小:4545230
    • 提供者:yuchao
  1. 18540PhDreport

    0下载:
  2. 一种电梯系统的UML文档 1。简介 本文是该课程的博士项目报告分布式嵌入式系统在卡内基 梅隆大学。在整个过程中,一个分布式实时系统-电梯控制 系统指定,设计,建造,模拟。面向对象分析与设计 方法,在具体的统一建模语言(UML)是设计系统时使用。-A UML documentation for an elevator system 1. Introduction This paper is a PhD project report for the course Dist
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:92978
    • 提供者:汪江
  1. Object-oriented-programming

    0下载:
  2. 面向对象程序设计与面向过程程序设计的区别 详细的阐述了 两者区别 生动形象-Object-oriented programming and process-oriented program design described in detail the difference between vivid distinction between the two
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-25
    • 文件大小:13586
    • 提供者:强强
  1. cPP

    0下载:
  2. 随着软件开发规模的扩大,传统的面向过程的软件开发方法给软件的复用、调试、维护带来了很大的困难。为了解决满足软件开发的需求,人们提出了面向对象程序设计(Object Oriented Programming,OOP)的思想。如今面向对象的思想已经深入人心,已经应用到程序设计和软件开发、数据库系统、人工智能等很多领域-With the expansion of software development, the traditional process-oriented approach to sof
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1641143
    • 提供者:烟雨城
  1. CPP02

    0下载:
  2. 在面向对象程序(OOP)设计思想出现之前,程序采用面向过程的设计方法,程序由数据和函数组成,函数是程序的基本组成单元。-In object-oriented programming (OOP) before the appearance of design, the program process-oriented design methods, procedures and functions from the data composition, function is the basic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:13399
    • 提供者:tabuiy
  1. an-education--system-based-on-UML

    0下载:
  2. 本论文在介绍面向对象的软件建模方法基础上,对面向对象的软件建模与 UML建模语言进行分析,研究了面向对象软件建模方法的特征,比较和分析了 传统软件模型与面向对象软件模型的特点,对UML建模语言在具体应用上适合 的软件开发过程作了深入地研究 -This thesis introduces an object-oriented software modeling based on object-oriented modeling language UML software model
  3. 所属分类:software engineering

    • 发布日期:2017-05-21
    • 文件大小:6195850
    • 提供者:习睿涵
  1. book

    0下载:
  2. 图书管理系统SQL版源文件 随着政府机关与广大企事业单位内部网络的广泛建立,在通用信息平台上构筑高效实用的协同工作和自动化办公应用系统,满足信息高度共享和即时发布的需求,有效实现内部知识管理,已成为众多用户的共同需求。 图书管理系统,为政府机关与广大企事业单位自动化办公提供了一个较好的解决方案。在开发过程中,按照软件工程的步骤,从设计到开发采用了面向对象的思想和技术,采用了SQL SERVER 2000网络数据库,使得本系统可以方便的和其他子系统进行数据交换。同时,注意从软件的图形应用界
  3. 所属分类:Education soft system

    • 发布日期:2017-05-06
    • 文件大小:1071538
    • 提供者:qiurun
  1. java-web-and-applicatin-

    0下载:
  2. java web留言板,包括用servlet和javabean实现 及数三退一小程序包括用面向过程和对象实现,还有一个四则运算java程序-java web message boards, including the use of servlet and javabean implementation and a small number of three retirement programs including the use of object-oriented process and t
  3. 所属分类:Jsp/Servlet

    • 发布日期:2017-03-31
    • 文件大小:66655
    • 提供者:寒风
  1. cPP

    0下载:
  2. 本书主要介绍了c++的基本语言,以及如何利用c++实现基于过程的程序设计,基于对象的程序设计,面向对象的程序设计等。-This book introduces the basic c++ language, and how to use c++-based process programming, object-based programming, object-oriented programming design.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-15
    • 文件大小:3996738
    • 提供者:徐静
  1. simulating-numerical-control

    0下载:
  2. 利用计算机屏幕显示加工过程,是验证数据程序的有效方法。在此探讨了采用计算机实现对数控车床NC程序进行模 拟加工问题。这套模拟系统是在Visual Basic 6.0环境下,利用窗口的可视性和面向对象的程序设计方法开发的,具有Win— dows支持界面和模拟加工功能。它可以完成对数控车床程序的编辑和加工模拟。用户可以通过计算机屏幕观察加工后的零 件是否符合要求,从而提高了加工的效率。这套系统可以用于教学实验,也可用于生产实践-Explosion and study for the pr
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:479775
    • 提供者:fhyang
  1. UML2.0(Trufun).pdf

    1下载:
  2. UML2.0 实战教程 第一章理解面向对象 第二章UML入门 第三章从需求开始 第四章建立用例模型 第五章创建类图 第六章定义类之间的关系 第七章对象交互 第八章对象行为 第九章系统实现 第十章TUP(Trufun统一过程)简 介-UML2.0 real understanding of object-oriented tutorial Chapter II Chapter III Chapter Introduction to UML needs s
  3. 所属分类:software engineering

    • 发布日期:2017-05-10
    • 文件大小:2179143
    • 提供者:wangenfang
  1. Java-design-pattern-jdon

    0下载:
  2. 设计模式(Patterns in Java) 在真正可复用的面向对象编程中,GoF 的《设计模式》为我们提供了一套可复用的面向对 象技术,再配合 Refactoring(重构方法),所以很少存在简单重复的工作,加上Java代码 的精炼性和面向对象纯洁性(设计模式是java的灵魂),编程工作将变成一个让你时刻体 验创造快感的激动人心的过程.-Design patterns (Patterns in Java) in a real reusable object-oriented
  3. 所属分类:Java Develop

    • 发布日期:2017-03-23
    • 文件大小:237419
    • 提供者:niesy
  1. easy-CPP

    0下载:
  2. 本书分为三部分:过程化程序设计,时间程序设计,面向对象的程序设计,每一部分都有作者验证过的典型c++源程序,作者站在初学者的角度编写的这本书,是按照本科计算机专业实际学习来编排的,作者以一种新颖而容易的角度使广大学者对c++充满兴趣。(附,不可用于商业用途,仅供学习参考,否则引起纠纷自己承担)-This book is divided into three parts: procedural programming, time programming, object-oriented progr
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1985760
    • 提供者:李强
  1. Object-Orient-Analysis-a-Design

    1下载:
  2. 本书详细介绍了面向对象的分析与设计,全面探讨了面向对象概念、软件开发过程、UML和多层技术。 本书使用最常见的技术和方法,通过一个贯穿全书的案例分析,对面向对象的软件开发过程和使用面向对象技术的编程过程进行了透彻的讨论。首先阐述了面向对象软件项目的基本概念,然后基于广泛使用的方法,介绍了使用进行以测试为驱动的开发过程,最后研究了现实世界中的开发问题。 -This book introduces object-oriented analysis and design, full explo
  3. 所属分类:Windows Develop

    • 发布日期:2017-06-17
    • 文件大小:27169874
    • 提供者:
  1. cPP

    0下载:
  2. C++ 入門 简要介绍了如下内容 计算机程序设计语言的发展 、面向对象的方法、面向对象的软件开发 、信息的表示与存储 、程序的开发过程 -c++ entry
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:41344
    • 提供者:abu
  1. Delphi.7

    0下载:
  2. 本书对Delphi 7语法和程序设计思想进行了全面的阐述,共分14章,全面讲解了面向对象的程序设计 概念、Delp hi 7的数据类型与表达式、Delp hi 7程序设计语句、Delphi的组件与窗体、数组程序设计、过程与 函数程序设计、文件程序设计、组件和DLL开发技术、数据库程序设计和多媒体程序设计等内容。-Book on Delphi 7 programming syntax and a comprehensive exposition of ideas, divided int
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-17
    • 文件大小:4654790
    • 提供者:杨洋
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »
搜珍网 www.dssz.com