CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 9.6.1

搜索资源列表

  1. 网站日记

    0下载:
  2. 清松网络日记本V2.4使用说明 使用说明: 此版本休整了图片上传出错的问题,另外对整体版面也做了一定的修改 1 初始用户名和密码都是herosomy.cn 2 日记主人修改 3 在线添加 在线删除 4 可以设定每页显示日记数 5 可设定日记是否公开 6 可设定是否不经登陆就可以签写日记 7 添加了访问计数器 希望大家喜欢 8 添加了中文繁简的转换 9 在1.2版本的基础上添加了对输入日记的编辑,便于您的日记有声有色!! 10 对网站的统计也做了一些美化 11 对网站日记的编辑器也进行了一些美化
  3. 所属分类:ASP源码

    • 发布日期:2014-01-21
    • 文件大小:2038109
    • 提供者:Vincent
  1. minigui-dev-1.6.9-win32

    0下载:
  2. minigui_1.6.9在WINDOWS32下的原代码,是嵌入式GUI产品,是北京飞漫公司的正式版本.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:905077
    • 提供者:孙刚
  1. MYBEN-1.5

    0下载:
  2. .5更新说明: 1:增加高级设置框 2:状态提示移至高级设置框 3:增加错误提示显示 4:增加了 不是商人报警选框 勾选为报警 取消为自动申请商人 5:增加了 交票时暂停并报警 勾选为交票时报警 取消为自动交票 6:奔奔率先加入了针对[举报外挂]系统的识别 提供3种模式供用户选择(自动识别,报警,报警并自动识别),提前让用户可以到测试区里感受奔奔的安全保护措施! 7:修复了交票BUG和接任务BUG 8:优化奔奔整体运算. 9:修复全局报警5分钟后不能正常退出游
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2008-10-13
    • 文件大小:1819254
    • 提供者:土匪
  1. 图表组件wschart.3.6

    2下载:
  2. 功能特点: 1、多种样式统计图表制作,包括柱状图,折线图,饼图,柏拉图等;2、输出多种格式文件,包括BMP,JPG,GIF等格式,输出质量自定义; 3、可在任意位置添加线条、文字、图片;4、可将统计结果直接输出到浏览器,包括BMP,JPG和GIF格式;5、柱状图表支持序列数据组比较;6、图表填充方式支持图片填充;7、数十个属性灵活控制图表布局和外观;8、组件用于WEB开发时只需要服务器端注册即可,无须客户端安装。9、性能稳定,消耗系统资极少,无内存泄-features : one, a vari
  3. 所属分类:ASPX.NET源码

    • 发布日期:2014-01-21
    • 文件大小:164211
    • 提供者:小希
  1. 图表组件wsChart3.6

    2下载:
  2. 图表组件wsChart3.6资源介绍: 功能特点: 1、多种样式统计图表制作,包括柱状图,折线图,饼图,柏拉图等;2、输出多种格式文件,包括BMP,JPG,GIF等格式,输出质量自定义; 3、可在任意位置添加线条、文字、图片;4、可将统计结果直接输出到浏览器,包括BMP,JPG和GIF格式;5、柱状图表支持序列数据组比较;6、图表填充方式支持图片填充;7、数十个属性灵活控制图表布局和外观;8、组件用于WEB开发时只需要服务器端注册即可,无须客户端安装。9、性能稳定,消耗系统资极少,无内存泄wsC
  3. 所属分类:ASPX.NET源码

    • 发布日期:2014-01-21
    • 文件大小:164211
    • 提供者:mxl
  1. 物流管理系统

    2下载:
  2. 软件说明书 目录 1登陆 2客户服务 2-1入库操作 2-1-1补单操作 2-2派送通知 2-2-1补单操作 2-2-2新增客户 2-3提货通知 2-4到货查看 3仓库管理 3-3仓库入库 3-4仓库出库 4分拣操作 5调度管理 5-1提货调度 5-2派送调度 6签收操作 6-1入库签收 6-2派送歉收 7条码录入 8定单管理 9查询操作-software manuals Contents a landing two customer service 2-1 warehousing operat
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2248957
    • 提供者:碧枫
  1. 校验码

    0下载:
  2. 校验码算法,可运行,加说明,例如:代码690123456789X1(共13位)校验码的计算 步骤 举例说明 1、自右向左编号 位置序号 13 12 11 10 9 8 7 6 5 4 3 2 1 代 码 6 9 0 1 2 3 4 5 6 7 8 9 X1 2、从序号2开始求出偶数 9+7+5+3+1+9=34 位上数字之和(1) 3、(1)*3=(2) 34*3=102 4、从序号3开始求出奇数 8+6+4+2+0+6=34 位上数字之和(3) 5、(2)+(3)=(4) 102+34=128
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:232959
    • 提供者:明明
  1. xp163.com.7.1.9

    0下载:
  2. 基于CPB v2.0正式版修改而成,主要功能: 1 超连接改成自动变彩色 2 回复增加机遇插件 3 简单门派插件 4 首页发帖明星插件 5 点歌与播放器结合插件 6 公告加入小狗狗图标-CPB v2.0 Based on the final version of revisions, the main functions : an ultra-connect automatically change color into two plug-in reply to increase opportu
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4888891
    • 提供者:当样
  1. ok3w网站系统4.6

    1下载:
  2. ASP新闻发布系统 Ok3w v4.6 专注于ASP新闻发布系统开发,力求功能实用,操作方便,运行高效。 主要功能: 一、后台直接更新系统信息,方便配置站点; 二、数据库在线压缩、备份; 三、友情链接管理; 四、站点介绍类文章任意添加; 五、新闻文章管理: 5.1、无级限分类; 5.2、加入回收站功能,减少无意删除的烦恼; 5.3、自由设置新闻开关、推荐与否; 5.4、集成eWebEditor在线编辑器,编辑文章更加方便; 5.5、发布滚动新闻(发布新闻时,选中“
  3. 所属分类:ASP源码

    • 发布日期:2009-08-12
    • 文件大小:1462786
    • 提供者:barrie_xie
  1. 数据结构的C++描述

    1下载:
  2. 目 录 译者序 前言 第一部分 预备知识 第1章 C++程序设计 1 1.1 引言 1 1.2 函数与参数 2 1.2.1 传值参数 2 1.2.2 模板函数 3 1.2.3 引用参数 3 1.2.4 常量引用参数 4 1.2.5 返回值 4 1.2.6 递归函数 5 1.3 动态存储分配
  3. 所属分类:数据结构常用算法

    • 发布日期:2009-10-17
    • 文件大小:5125525
    • 提供者:gufeng20081010
  1. OA项目-需求规格说明书.doc

    0下载:
  2. 1引言 4 1.1编写目的 4 1.2背景 4 1.2.1、背景说明 4 1.2.2、系统名称定义与目标对象: 5 1.2.3、项目相关单位介绍: 5 1.2.4、其它要求 6 1.3术语定义 6 1.4参考资料 7 2业务概述 7 2.1业务场景和约束 7 2.1.1概述 7 2.1.2业务流程 8 2.2用户分析 11 3具体需求 12 3.1功能性需求 12 3.1.1功能性需求分类 12 3.1.2 公文管理 13 3.1.3 会议管理 15 3.1
  3. 所属分类:OA系统

    • 发布日期:2009-10-18
    • 文件大小:3469312
    • 提供者:qiankunde
  1. MFC程序员的WTL指南

    1下载:
  2. 序言 3 第一章ATL 界面类 4 1.1、对本书的总体介绍 4 1.2、对这一章的简单介绍 5 1.2.1、ATL 背景知识 ATL 和 WTL 的发展历史 5 1.2.2、ATL风格模板 6 1.3、ATL 窗口类 8 1.3.1、定义一个窗口的实现 8 1.3.2、填写消息映射链 9 1.3.3、高级消息映射链和嵌入类 11 1.3.4、ATL程序的结构 13 1.3.5、ATL中的对话框 15 第二章WTL 界面基类 18 2.1、WTL 总体印象 18 2.
  3. 所属分类:文档资料

  1. hao123.com源代码

    0下载:
  2. c18233\AcceptJob.asp c18233\copyright.asp c18233\counter\0\0.gif c18233\counter\0\1.gif c18233\counter\0\2.gif c18233\counter\0\3.gif c18233\counter\0\4.gif c18233\counter\0\5.gif c18233\counter\0\6.gif c18233\counter\0\7.gif c18233\counter
  3. 所属分类:SCSI/ASPI

    • 发布日期:2009-02-11
    • 文件大小:2272931
    • 提供者:ljc8670
  1. 银行计算机管理系统可行性分析

    0下载:
  2. 1 引言 ………………………………………………………………. 3 1.1 编写目的 …………………………………………………………………….. 3 1.2 背景 ………………………………………………………………………….. 3 1.3 参考资料 …………………………………………………………………….. 3 2 可行性研究的前提 ......................................................................... 3
  3. 所属分类:文档资料

  1. 简单网络管理协议SNM

    0下载:
  2. 1. 网络管理基本概念 3 2. 简单网络管理协议SNMP概述 4 2.1 SNMP的发展 4 2.2 SNMP的配置 5 3. 管理信息库MIB 6 4. SNMP的5种协议数据单元 8 5.管理信息结构SMI 11 6. SNMPv2协议 12 6.1 SNMPv2标准中的安全机制 14 6.2 SNMPv2 Party 14 6.3 SNMPv2协议操作 15 7. SNMPv3的体系结构 15 8. 公共管理信息CMIP 17 9. SNMP与CMIP的比较 1
  3. 所属分类:文档资料

    • 发布日期:2009-03-07
    • 文件大小:982016
    • 提供者:guyanzhao11
  1. 中文版SQL Server2000开发与管理应用实例

    2下载:
  2. 第 1 章 安装和配置SQL Server 1 1.1 SQL Server的版本和版本选择 1 1.2 安装SQL Server的常见问题 3 1.3 如何理解实例 5 1.4 如何实现无值守安装 5 1.5 Desktop Engine 9 1.5.1 MSDE 2000概述 9 1.5.2 获取MSDE 2000 9 1.5.3 安装MSDE 2000 10 1.5.4 管理MSDE 2000 11 1.5.5 支持的并发用户数和数据库容量 12 1.6 SQL Se
  3. 所属分类:SQL Server数据库

  1. PCI BIOS SPECIFICATION

    0下载:
  2. 1. Introduction ............................................................................................................... 1 1.1. Purpose ....................................................................................................... 1
  3. 所属分类:文档资料

  1. 通用在线考试系统

    1下载:
  2. ******************************通用在线考试系统简介******************************* ************************* * 联系人:程平平 * * QQ:394403692 *
  3. 所属分类:WEB源码

    • 发布日期:2010-09-30
    • 文件大小:1588925
    • 提供者:duan_hl@126.com
  1. 上海市城域网技术实施方案

    0下载:
  2. 目 录 1. 网络结构设计 1 1.1. 城域骨干网B平面组网结构 1 1.2. 宽带接入网组网方案 2 1.2.1. 市区关键业务子网 3 1.2.2. 郊区关键业务子网 3 2. 路由设计 5 2.1. 总体路由策略 5 2.1.1. 城域网B平面设立私有AS,与CN2及CHINANET不直连(MPLS VPN 可以直接连接CN2 SR) 6 2.2. IGP方案 7 2.3. BGP方案 8 2.4. MPLS VPN的CE-PE路由设计 10 3. L3 MPLS
  3. 所属分类:其它文档

    • 发布日期:2010-10-21
    • 文件大小:3515472
    • 提供者:lm197801
  1. 金仓串口MODEM池使用操作说明书

    0下载:
  2. 1 产品介绍..................................................................................................................................4 1.1 功能特点........................................................................................................
  3. 所属分类:编程文档

    • 发布日期:2011-01-05
    • 文件大小:289042
    • 提供者:jaty613
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com