CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - IEEE 802

搜索资源列表

  1. CC2420_Data_Sheet_1_3

    0下载:
  2. CC2420是Chipcon公司推出的首款符合2.4GHz IEEE 802.15.4标准的射频收发器(RF transiver)。它只需要极少外部元件,其选择性和敏感性指标超过了IEEE 802.15.4的要求,可确保短距离通信的有效性和可靠性。并且支持最高达250kpps的数据传输速率,可实现多点对多点的快速组网。-Chipcon CC2420 is the company's first with 2.4GHz IEEE 802 .15.4 standard RF transcei
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:887389
    • 提供者:刘天
  1. 802-15_SG3a-Channel-Modeling-Subcommittee-Report.r

    0下载:
  2. IEEE P802.15 Working Group for Wireless Personal Area Networks (WPANs)-IEEE P802.15 Working Group for Wireless Pe following Area Networks (WPANs)
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:896001
    • 提供者:郭时华
  1. linux-wlan-0[1].3.4.tar

    0下载:
  2. Linux Wireless LAN Project 的目标是开发一个完整的,基于标准的无线 LAN系统,这个系统用的是GNU/Linux 操作系统。把这个项目同Linux 无线扩展和其它 Linux无线项目区分开的是,它完全基于 IEEE 802.11标准。-Linux Wireless LAN Project's goal is to develop a comprehensive, standards-based wireless LAN system, the system is
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:140044
    • 提供者:陈洁营
  1. FPGAOFDM

    0下载:
  2. On the design of an FPGA-Based OFDM modulator for IEEE 802.11a-On the design of an FPGA-Based OFDM modulat or for IEEE 802.11a
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:130144
    • 提供者:冯嘉兴
  1. IEEE-Std-802.11a-1999

    0下载:
  2. IEEE-Std-802.11a标准文档, 如你需要更多的IEEE标准请联系truewell@anhuinews.com-IEEE - Std-802.11a standard documentation, If you need more IEEE standard please contact truewell@anhuinews.com
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:631898
    • 提供者:莫宁
  1. The_wireless_network_technology_based_on_ZigBee.ra

    0下载:
  2. 基于ZigBee的无线网络技术,讨论了IEEE 802.15.4和ZigBee的协议架构及两者间的关系,介绍了ZigBee的发展优势及主要市场应用,并就目前常见的无线网络协议进行了性能分析比较。这是我的网络课程完成的论文,对于了解ZigBee具有一定的帮助,是篇很好的入门文章。-based on ZigBee wireless network technology, discussed the IEEE 802.15.4 and ZigBee structure and the agreemen
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:263296
    • 提供者:马成龙
  1. 802.11.Wireless.Networks.The.Definitive.Guide.

    0下载:
  2. 802.11.Wireless.Networks.The.Definitive.Guide,英文版无线权威指南,对无线局域网802.11协议的详细分析,比看IEEE的协议好懂多了,对于从事无线局域网和城域网研究设计的人非常有用-802.11.Wireless.Networks.The.Definit ive.Guide, wireless authoritative guide to English, right WLAN 802.11 a detailed analysis of the ag
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7825286
    • 提供者:dding
  1. senser

    0下载:
  2. 凭借它在手机技术领域的地位、在射频半导体工艺方面的广泛知识,飞思卡尔提供了符合IEEE 802.15.4标准的MC13192射频数据调制解调器。这种功能丰富的双向2.4GHz收发器带有一个数据调制解调器,可在ZigBee™ 技术应用中使用。它还具有一个优化的数字核心,有助于降低MCU处理功率,缩短执行周期。4个定时比较器使用一个性能较低、价格低廉的MCU,从而能够降低成本。广泛的中断维修服务使软件开发更具灵活性。PF IC和MCU之间使用串行外围接口(SPI)连接,从而使用户能够使用飞
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1561
    • 提供者:jibing
  1. MC1321XPCB

    0下载:
  2. 凭借它在手机技术领域的地位、在射频半导体工艺方面的广泛知识,飞思卡尔提供了符合IEEE 802.15.4标准的MC13192射频数据调制解调器。这种功能丰富的双向2.4GHz收发器带有一个数据调制解调器,可在ZigBee™ 技术应用中使用。它还具有一个优化的数字核心,有助于降低MCU处理功率,缩短执行周期。4个定时比较器使用一个性能较低、价格低廉的MCU,从而能够降低成本。广泛的中断维修服务使软件开发更具灵活性。PF IC和MCU之间使用串行外围接口(SPI)连接,从而使用户能够使用飞
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:97795
    • 提供者:jibing
  1. Seamless_handover

    0下载:
  2. Seamless handover across Heterogeneous Networks - An IEEE 802.21 Centric Approach.-Seamless handover across heterogeneous N etworks-An IEEE 802.21 Centric Approach.
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:635969
    • 提供者:李柯
  1. zigbee_of_freescale

    0下载:
  2. 飞思卡尔半导体公司是摩托罗拉的子公司,在长达 5 0 多年开发半导体产品的过程中, 积累了丰富的无线射 频和无线技术领域经验。凭借在这个领域的丰富经验, 我们提供符合IEEE 802.15.4 标准、支持ZigBee 技术的完 整平台解决方案。飞思卡尔为窗户提供一站式商店,包 括射频收发器、MCU、传感器、MAC 软件、Z-Stack ZigBee 软件和灵活的开发工具套件, 从而使无线技术变得简 单。实际上,任何需要较长电池寿命和联网功能的低数 据速率、监控、控
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:368798
    • 提供者:麦克狼
  1. multipath_sim2a

    0下载:
  2. File: multipath_sim.m Matlab Simulink IEEE 802.15.3a compliant channel model -File : multipath_sim.m Matlab Simulink IEEE 802.15. 3a compliant channel model
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1935
    • 提供者:龙娟
  1. WiMAX

    2下载:
  2. 基于WiMAX IEEE 802.16e物理层规范的Simulink模型参考。
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:27910
    • 提供者:dy
  1. IEEE802.15.3achannelmodelofUWB

    1下载:
  2. IEEE 802.15.3a标准的超宽带信道模型.This is the final report of the channel modeling sub-committee.
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:77126
    • 提供者:xiaolulu2459
  1. CC2430datasheet

    0下载:
  2. cc2420-A True System-on-Chip solution for 2.4 GHz IEEE 802.15.4 / ZigB
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1321139
    • 提供者:Cai Zhi
  1. framepaser

    0下载:
  2. 解析已封装好的 模拟IEEE 802.3格式的Ethernet帧的封包文件(封包文件是二进制文件,文件名为input,文件中包含若干个Ethernet帧,其中有正确的帧,也有错误的帧),列出每个Ethernet帧的各个字段的值,并判断帧的正确性。并进行CRC8校验
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:100439
    • 提供者:董巍
  1. hostapd-0.5.8

    0下载:
  2. hostapd - user space IEEE 802.11 AP and IEEE 802.1X/WPA/WPA2/EAP Authenticator and RADIUS authentication server
  3. 所属分类:Linux/Unix编程

    • 发布日期:2014-01-17
    • 文件大小:459253
    • 提供者:peng
  1. ieee802.3

    2下载:
  2. IEEE 802.3 标准的英文版原文,有兴趣的可以
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:49101
    • 提供者:Tristan
  1. ChinaTELEponRequireV2.0

    0下载:
  2. 本标准以IEEE 802.3-2005 和 我国通信行业标准《接入网技术要求——基于以太网方式 的无源光网络(EPON)》为基础,以增强EPON 系统的互通性和运营、管理能力为目标,在 PON 接口协议(MPCP、OAM 扩展等)、DBA 和QoS、组播、安全性、语音业务提供、TDM 业务提供、维护管理等方面的提出了新的和更具体的要求。 本标准由中国电信集团公司技术部组织制定。
  3. 所属分类:行业发展研究

    • 发布日期:2014-01-18
    • 文件大小:1559405
    • 提供者:leon
  1. linux-wlan-ng-0.1.14-pre1.tar

    0下载:
  2. Linux的无线局域网方案是一个Linux设备驱动程序和子系统 一揽子方案的用意是提供全系列的IEEE 802.11标准的Mac 管理功能,用于用户模式公用事业和脚本。
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:301168
    • 提供者:zhao
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 36 »
搜珍网 www.dssz.com