CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Register

搜索资源列表

  1. 24c02串行E2PROM

    1下载:
  2. AT24C02串行E2PROM的读写 24C02中带有片内地址寄存器。每写入或读出一个数据字节后,该地址寄存器自动加1,以实现对下一个存储单元的读写。所有字节均以单一操作方式读取。为降低总的写入时间,一次操作可写入多达8个字节的数据。 -Application of AT24C02 serial E2PROM literacy 24C02 chip with which address registers. Each read or write a byte of data, the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1836
    • 提供者:徐俊
  1. Dual Screen

    0下载:
  2. 利用Windows虚拟桌面实现的双屏程序,一个桌面程序用于POS收银操作。一个桌面用于广告。-Windows virtual desktop to achieve the dual procedure, a procedure for desktop POS cash register operation. A desktop for advertising.
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:687535
    • 提供者:陈国洪
  1. namab

    0下载:
  2. ergydfhgdfhggfhg 一.实验目的 二.实验内容 三、程序简要说明 原 文 : C语言词法分析器的设计与实现 一.实验目的: 1.强化对系统软件综合工程实现能力、规划能力的训练; 2.加强对词法分析原理、方法和基本实现技术的理解; 二.实验内容: 用C语言(或 C++ )作为宿主语言完成: C语言(ANSI C或turbo C 2.0)词法分析器的设计和实现。 三、程序简要说明: 1、属性字说明: 共9种 KE
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:1214
    • 提供者:天空
  1. fchh

    0下载:
  2. 一.实验目的 二.实验内容 三、程序简要说明 原 文 : C语言词法分析器的设计与实现 一.实验目的: 1.强化对系统软件综合工程实现能力、规划能力的训练; 2.加强对词法分析原理、方法和基本实现技术的理解; 二.实验内容: 用C语言(或 C++ )作为宿主语言完成: C语言(ANSI C或turbo C 2.0)词法分析器的设计和实现。 三、程序简要说明: 1、属性字说明: 共9种 KEYWORD: 关键字 \"auto\
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:1214
    • 提供者:天空
  1. Kb_sniff

    0下载:
  2. 修改中断描述符表(IDT)中的键盘入口实现按健记录,把读取到的键盘扫描码转换成 ascii 码记录下来。查找键盘入口采用了查询 IO APIC 的重定向寄存器的方法(通过把物理地址 0xFEC00000 映射为虚拟地址,然后读取键盘中断向量,最难得是没有 xpsp2 的限制了。不经典不发。-interrupt descr iptor revised table (IDT), the keyboard imported by Kin achieve record Reads to put the
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:6157
    • 提供者:漆光耀
  1. opc测试工具服务器

    1下载:
  2. 必须执行(在文件所在路径下)opc_exe /regserver才能在系统中注册该opc服务器-must be implemented (in the document path lies under) opc_exe / regserver be in the system Oligomeric Proanthocyanidins register the server
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:49955
    • 提供者:whao
  1. 汇编注册登陆程序

    0下载:
  2. 汇编语言实现的简易登陆系统, 1、用户登陆:用户通过输入已注册的用户名和密码登陆,输入正确显示功能界面,否则显示重输提示。 2、注 册 :在登陆输入用户名时,通过输入‘new’来注册新用户。当注册新用户名已经存在时,则 提示重新输入。 3、功能界面:修改当前登陆用户的密码,显示所有已注册用户的信息,退出程序。 4、数据保存:以文件形式保存在D:\\users.dat,每个记录30个字节,每个记录包含两个字段,用户 名(20B)和密码(10B)。 -compilation of
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4825
    • 提供者:俞薛永
  1. 画贝塞尔曲线

    0下载:
  2. 在汇编程序中很难控制图形的变化,要用到好多的寄存器,本例就是用汇编画贝塞尔曲线-in the compilation process is difficult to control the graphics change, we had to use a lot of the register, this example is using Bezier curve drawing compilation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11002
    • 提供者:吴忠
  1. AT91RM9200-BasicMmu

    0下载:
  2. 基于ATMEL AT91RM9200 QFP208的测试源代码,由汇编和C构成,主要用于测试启动时对Flash的读写和相关寄存器设置-ATMEL AT91RM9200 QFP208 based on the test source code, by compiling and C constitute a major test for the commencement of Flash read and write register settings
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:332410
    • 提供者:刘栋
  1. TestDelay

    0下载:
  2. 一种简单测试C51延时的方法,请用单步执行,注意观察定时器寄存器。-C51 a simple test of time, please use the single-step, to observe the timer register.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8230
    • 提供者:何俊钢
  1. aduc7000_pwm

    0下载:
  2. This project is created using the Keil ARM CA Compiler. The Logic Analyzer built into the simulator may be used to monitor and display any variable or peripheral I/O register. It is already configured to show the PWM output signal on PORT3.0 an
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8599
    • 提供者:郭文彬
  1. 7113_set

    0下载:
  2. philips saa7113寄存器配置-Philips saa7113 Register Configuration
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1321
    • 提供者:余生
  1. m序列发生器

    1下载:
  2. m序列发生器(简单型码序列发生器-----simple shift register generator)-m sequence generator (a simple code sequence generator ----- simple shift Regi ster generator)
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3234
    • 提供者:mingerlier
  1. stat.tar

    0下载:
  2. 采用JSP+Java Bean开发的基于MySQL数据库的计数器。 包含有动网165501条数据库。 可以限制每个用户记录的IP数,防止数据库无限增大。 多用户,可以开放记数器申请,用户可以登录修改资料。 所有密码均采用MD5加密。 登录使用认证码(有干扰纹)。-using JSP development of the Java Bean on the counter MySQL database. Website contains 165,501 database. Eac
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:1922336
    • 提供者:杨云志
  1. 小肥羊火锅店收费系统

    0下载:
  2. 东莞小肥羊火锅店收银系统,能实现前台收银记帐管理,快速的点菜系统,和桌号管理系统 是一般餐饮店首选程序-Dongguan Xiaofeiyang Pot shop cash register system, able prospects cashier account management, and rapid Ordering System, tables and management system, Food shops are generally preferred procedure
  3. 所属分类:酒店行业

    • 发布日期:2008-10-13
    • 文件大小:269517
    • 提供者:哈哈
  1. 时序逻辑:VHDL实例---移位寄存器

    1下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples --- Shift Register
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:张洪
  1. lhthwei

    0下载:
  2. 小型超市进销存系统,适合小型超市使用。本系统包括前台收银,后台进出货,库存盘点,人员管理等功能-small supermarket Invoicing system for the use of small supermarkets. The system includes future cash register, back into shipments, inventory checks, personnel management functions
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:315671
    • 提供者:黄伟
  1. shijuanguanli

    1下载:
  2. 题库管理系统实现试卷修改,增加,册除.管理试卷评分等,有效节约人力,物力,程序用VB+SQL 2000编写.-database management system papers changes, in addition to register. Score management papers, the effective conservation of human and material resources, SQL procedures with VB 2000 preparation.
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:338863
    • 提供者:杨声涛
  1. dvbmpeg2analyser

    0下载:
  2. 这是本人参与实验室项目编写的实现dvb-mpeg2码流解复用中和码流相关的源代码,用c实现,dsp是ti的5416,中间用到了,计时、中断、时钟锁存寄存器设置,对于学习dsp编程很有帮助-This is my participation in the project prepared by the laboratory to achieve DVB-mpeg2 stream demultiplexing and in bitstream relevant source code, using c
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:9350
    • 提供者:卢国勋
  1. clock-ron

    0下载:
  2. 调用2CH号DOS中断读系统时间,把各相关寄存器中的内容转换位十进制ASCULL码输出,设置死循环,以秒为最小单位显示系统时钟。-call 2CH, DOS interrupt reading system, the inclusion of the relevant contents of the register-metric conversion output ASCULL yards, set up death cycle in seconds showing the smallest
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:14081
    • 提供者:李海
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com