CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - XOR

搜索资源列表

  1. EncryWithPicture

    0下载:
  2. 本实例主要通过CFile类的Read方法分别读取图片文件和文本文件,然后将图片文件的每个字节和文本文件的每个字节进行异或运算。-This example mainly through CFile class Read method reads the picture files and text files, and then each byte of image files and text files for each byte XOR.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:30010
    • 提供者:tch
  1. NOD32PWwriteread

    0下载:
  2. 一个deilphi编写的程序,包含了一个dll+exe dll内5个函数,分别是检测NOD32 ID是否有效,读取用户名密码,写入用户名密码,主要演示了xor以及注册表dword类型,以上5款源码皆本人自己编写且从未在其他网站有发布-Program written in a deilphi, contains a dll+ exe dll within the five functions, namely, the validity of testing NOD32 ID, username
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-05
    • 文件大小:111526
    • 提供者:葛斌
  1. 5e2b02770906

    0下载:
  2. BP神经网络解决异或问题.模式识别常见问题解决-BP neural network to solve XOR problem. Pattern Recognition common problem-solving
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-01
    • 文件大小:8280
    • 提供者:tk
  1. A_very_sim977756232002-457852ngfppr2567

    0下载:
  2. A very simple example of Neural Networks using back propagation This program is a simple example of Neural Networks using back propagation. My code has all basic functionalities like learning rate, load net, save net, etc. You can have as many layer
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-05
    • 文件大小:6524
    • 提供者:ani
  1. 8CPU

    0下载:
  2. 指令寄存器:在触发时钟的正沿触发下,寄存器将数据总线送来的指令存入寄存器; 累加器用于存放当前的结果,它也是双目运算的一个数据来源; 算术逻辑运算单元根据输入的8种不同操作码实现相应的加、与、异或、或等8种基本操作运算; 状态控制器实际上就是一个状态机,它是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令、读写I/O端口、对ROM数据的读取等操作,都是由状态机来控制的; -Instruction Register: The trigger is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24984
    • 提供者:王金
  1. ass1_2_hamming

    0下载:
  2. Hamming codes are a class of binary linear codes. They can detect up to two simultaneous bit errors, and correct single-bit errors. In particular, a single-error-correcting and double error detecting variant commonly referred to SECDED.-a) Develop a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1133589
    • 提供者:wei chenghao
  1. Bog-XOR

    0下载:
  2. This is fodrjmaipjmrogjisarogj r iajg rai oi nioaengoang onj nao ienosenignhoi s i5jse ojgain i-This is fodrjmaipjmrogjisarogj r iajg rai oi nioaengoang onj nao ienosenignhoi s i5jse ojgain i
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:7021
    • 提供者:smegon
  1. AIAB

    0下载:
  2. Genetic algorithm assignment with two floating inputs works like a xor gate. show the best genome in the population. use three different methods.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-04
    • 文件大小:199728
    • 提供者:mehmet
  1. XOR_Encrypt

    0下载:
  2. 一个异或加密解密的例子,仅供参考 一个异或加密解密的例子,仅供参考-XOR encryption and decryption of a case, for reference only
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-08
    • 文件大小:1026
    • 提供者:caowei
  1. rank

    0下载:
  2. 本算法与书上的相比稍微改动了一些。 因为日常加密中,明文不单是数字,经常会有字母或者其他字符出现。 本程序的思想就是先把明文以字符形式读取,转化成ASCII码,再把ASCII码转化成数字形式。 因为ASCII码共有256个也就是2的8次方,占8位二进制,把这八位二进制分为两部分,每部分占4位进行加密。 加密的算法和书上类似,先用密钥选取表,行,取反,然后与明文做异或,移位等运算。 最后得出两个4位的二进制数,合为一个,转化成十进制形式存储为密文。-The algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-03
    • 文件大小:401379
    • 提供者:guangren
  1. wenjianjiamijiemi

    0下载:
  2. 采用文件逐字节与密码异或方式对文件进行加密,密码需在8个字符或数字以内 当解密时,只需再运行一遍加密程序即可-Using the file byte by byte XOR with the password encryption methods on the file, the password required to less than 8 characters or numbers when the decryption, just re-encryption program can
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:769209
    • 提供者:李昊
  1. bp

    0下载:
  2. 使用BP算法实现异或功能,由matlab编程实现,可正确运行。-BP algorithm using the XOR function, the matlab programming, can be run correctly.
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:838
    • 提供者:zyx
  1. neural-network-training-for-XOR

    0下载:
  2. 一个简单的神经网络训练,一个二层的神经网络实现异或的功能-A simple neural network training, a different layer of the neural network function or
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-29
    • 文件大小:3159
    • 提供者:denny
  1. xor_encryption

    0下载:
  2. A simple XOR encryption using verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1420
    • 提供者:Moganeshwaran
  1. 611.asm

    0下载:
  2. 利用异或原理实现简单加密和再次利用异或解密的功能-Principle of using simple XOR encryption and decryption functions
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-05
    • 文件大小:690
    • 提供者:ouyuan
  1. lab1

    0下载:
  2. 本实验主要设计基本的门电路,包括两输入与门,两输入与非门,两输入或门,两输入 或非门,两输入异或门,两输入同或门。-In this study, the basic design of the main gates, including two input AND gate, two input NAND gate, two input OR gate, the two input NOR gate, the two input XOR gate with two input OR gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:547
    • 提供者:
  1. BPNet_BP

    0下载:
  2. 一个BP神经网络解决的异或问题,解决方法挺不错的源代码。-A BP neural network to solve the XOR problem, the solution very good source.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-05
    • 文件大小:86862
    • 提供者:藐视
  1. C-programming-examples

    0下载:
  2. 给文件加密的技术很多,其中又分为不同等级,以适合不同场合的需要.这里给出最简单的文件加密技术,即采用文件逐字节与密码异或方式对 文件进行加密,当解密时,只需再运行一遍加密程序即可. -File encryption technology to many, which is divided into different levels to suit different occasions. Here are the most simple file encryption technolog
  3. 所属分类:CA program

    • 发布日期:2017-04-06
    • 文件大小:23066
    • 提供者:胡杨
  1. MaxCut

    0下载:
  2. This program implement the Max cut Max_Cut algorithm , as a deterministic algorithm using a pair wise method , which force the algorithm for deterministic solution with solution better then |E|/2 the graph is implemented using an adjacent matrix,
  3. 所属分类:Java Develop

    • 发布日期:2017-04-01
    • 文件大小:4663
    • 提供者:shahar
  1. shenjingwangluo

    0下载:
  2. 模式识别作业实现感知器,BP分类器及异或门-Pattern recognition operations to achieve perceptron, BP classifier and XOR gates
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-05
    • 文件大小:871930
    • 提供者:厉方
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 34 »
搜珍网 www.dssz.com