CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - antenna

搜索资源列表

  1. microstrip_patch_antenna

    0下载:
  2. 较粗圆柱振子的数值分析及三频双极化微带贴片天线的研究与设计,学位论文-Thick cylindrical vibrator Numerical Analysis and tri-band dual-polarized microstrip patch antenna research and design, dissertations
  3. 所属分类:Algorithm

    • 发布日期:2017-05-12
    • 文件大小:2655788
    • 提供者:adf
  1. zuoye

    3下载:
  2. 矩量法求半波振子天线的阻抗,与理论值偏差较小,比较精确-mom to calculate the z of the antenna
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:533
    • 提供者:胡就
  1. lab2FEKOtest

    1下载:
  2. FEKO testing for antenna design. For ELG4104 antenna and propagation course lab.
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:1349308
    • 提供者:dandan
  1. LMS_repeat10

    1下载:
  2. 基于循环迭代LMS算法智能天线技术,对多信号进行波达角方向估计,基于八单元线阵-LMS iterative algorithm based on smart antenna technology, multi-signal angle of arrival direction is estimated, based on the linear array of eight modules
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1410
    • 提供者:shenhong
  1. monopole_antenna

    0下载:
  2. 3D FDTD anaysis of clindrical monopole antenna fed through antenna fed through an image plane from a coaxial line.-3D FDTD anaysis of clindrical monopole antenna fed through antenna fed through an image plane from a coaxial line.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-04
    • 文件大小:4313
    • 提供者:Mariusz
  1. IC_CARD

    0下载:
  2. IC卡读写器天线电路设计v1-IC card reader antenna circuit design
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:179100
    • 提供者:yuwei
  1. V_Antenna

    0下载:
  2. 用MOM计算V型天线的电流分布、输入阻抗及辐射方向图。其中L=0.3λ,L/a=2000,激励用δ-gap模型。-calculate the current distribution, input impedance and radiate pattern of V-antenna with MOM. L=0.3λ,L/a=2000, δ-gap source
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1311
    • 提供者:Mavis
  1. MATLABinantennadesign

    0下载:
  2. Matlab语言在天线设计中的使用 很有用的资料-Matlab language design in the use of antenna very useful information
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:126044
    • 提供者:单坤
  1. receive_antenna

    0下载:
  2. a recieve antenna using matlab
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:555
    • 提供者:xuliang
  1. PaperAnAdaptiveArrayinaSpreadSpectrumCommunicatio

    0下载:
  2. paper regarding antenna s arrays
  3. 所属分类:Communication

    • 发布日期:2017-05-26
    • 文件大小:8846577
    • 提供者:mireiacolina
  1. 2009072301

    0下载:
  2. 24GHz12GHz微带天线的设计,rfid 论文-24GHz12GHz Microstrip Antenna Design
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:182794
    • 提供者:zhangwenyuan
  1. article4

    0下载:
  2. rfid antenna thinks that is fullof info for you and can help you in your project.
  3. 所属分类:RFID

    • 发布日期:2017-04-07
    • 文件大小:525570
    • 提供者:turgilo
  1. mat

    1下载:
  2. 天线相关性方面的仿真,已经验证过,可以使用-Antenna Simulation relevance has been verified, you can use
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:30487
    • 提供者:张海洋
  1. 2.4GHz_tianxian

    0下载:
  2. 介绍2.4g天线设计的文档,不过是英文的,遗憾!!但是还是挺有参考价值的!-2.4g antenna design, introduced the document, but are in English, sorry! ! But still quite useful!
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:50668
    • 提供者:1987
  1. mimo

    0下载:
  2. a simple mimo code using 2*2 transmitter and antenna. and measure the bit error rate performance based on the signal to noise ratio
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:731
    • 提供者:Yuqun
  1. fx

    1下载:
  2. 用matlab编的天线方向图的一个程序,能够实现均匀线阵下的方向图,还有一个music算法的实现程序-Use of matlab antenna for a procedure, can be achieved under the uniform linear array pattern, as well as the realization of a music program algorithm
  3. 所属分类:其他小程序

    • 发布日期:2013-03-11
    • 文件大小:1685
    • 提供者:张琴超
  1. article1

    0下载:
  2. The effect of the quiet-zone field nonidealities on the measurement results and the reasons for the discrepancies in the measured antenna beam are discussed.
  3. 所属分类:Document

    • 发布日期:2017-05-11
    • 文件大小:2280150
    • 提供者:严严
  1. lmcv

    0下载:
  2. 智能天线方向图仿真自适应算法--约束线性lmcv算法-Smart antenna pattern simulation of adaptive algorithm- Algorithm for Constrained Linear lmcv
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1147
    • 提供者:陈雪菲
  1. rbf2

    0下载:
  2. antenna beamforming using RBF
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1403
    • 提供者:techie
  1. mimo_channel_capacity

    1下载:
  2. 基于多天线输入输出的信道容量的matlab仿真源代码。-Based on multi-antenna input and output capacity of the channel matlab simulation source code.
  3. 所属分类:3G develop

    • 发布日期:2017-04-14
    • 文件大小:5057
    • 提供者:xiaohan
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com