CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - antenna s

搜索资源列表

  1. tianxian

    0下载:
  2. 关于蝶形宽带微带天线的设计 索引:蝶形宽带微带天线. 设计方案 排列效率 摘要:现在提出一种基于绝缘体衬底的有限长度的宽带微带天线的设计方法。这种方法快速、对计算精度要求较低,是建立在一蝶形天线进行二等分而形成的两个梯形天线的 。首先设计出单边的梯形部分,然后制作出相同的另一部分并在其顶点处将其连接。与理论计算相比在X波段上实验测量表现得很好。其有效带宽达到10.6%。 -One approach to an antenna book starts with a discussio
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:320194
    • 提供者:hanwenting
  1. weidaitianxian

    0下载:
  2. 关于蝶形宽带微带天线的设计 索引:蝶形宽带微带天线. 设计方案 排列效率 摘要:现在提出一种基于绝缘体衬底的有限长度的宽带微带天线的设计方法。这种方法快速、对计算精度要求较低,是建立在一蝶形天线进行二等分而形成的两个梯形天线的 。首先设计出单边的梯形部分,然后制作出相同的另一部分并在其顶点处将其连接。与理论计算相比在X波段上实验测量表现得很好。其有效带宽达到10.6% -One approach to an antenna book starts with a discussion
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1908375
    • 提供者:hanwenting
  1. OFDM@matlab

    0下载:
  2. OFDM系统 发射、接收源程序,基于matlab环境,可以借此更好的理解ofdm传输原理-OFDM can provide large data rates with sufficient robustness to radio channel impairments. Many research centers in the world have specialized teams working in the optimization of OFDM for countless applic
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-03
    • 文件大小:833
    • 提供者:小飞
  1. modeling-matlab

    0下载:
  2. Antenna and EM modeling with MATLAB(Makarov S.N. -Wiley2002),使用MATLAB分析天线的首选指导书籍-Antenna and EM modeling with MATLAB (Makarov SN-Wiley2002), using the MATLAB analysis of the antenna of choice for guide books
  3. 所属分类:matlab

    • 发布日期:2017-05-25
    • 文件大小:8537585
    • 提供者:瞿颜
  1. CC2400EM-Refernece-Design

    0下载:
  2. TI公司发布的关于CC2430模块PCB的参考设计,比如天线等-TI s CC2430 module PCB reference designs, such as antenna
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:518303
    • 提供者:赵彪
  1. MIMO-OFDM-Simulator

    0下载:
  2.  多输入多输出正交分频多工模拟器。    正交分频多工:OFDM模拟器(外层函数)         create_channel:产生一个瑞利衰落信道复用,解的天线配置、正交分频多工结构、power-delay档案。         svd_decompose_channel:既然全网络知识是假设,在并行传输奇异值模式。这个函数分解这些频道模式。         BitLoad:适用bit-loading算法来实现预期的钻头和能量 -MIMO OFDM
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:14375
    • 提供者:liufan
  1. project

    0下载:
  2. 天线平面阵列 绘制方向图 从文件读取各阵列元素的幅度和相位以及坐标 -Antenna planar array draw the pattern read from the file of each array element‘s amplitude and phase as well as coordinate
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:23817
    • 提供者:xie feng
  1. code-of-GNSS-sofe-receiver

    2下载:
  2. 本书面向应用与编程设计、在参考国内外论著的基础上,结合作者自己的研究成果撰写。内容上由浅人深,第一章介绍了GNSS软件接收机的研究背景和各种卫星导航系统。第二章介绍了信号处理的一些相关概念。第三章介绍了卫星运动的基本理论。第四章研究GNSS信号,包括伪随机码信号、导航电文,着重以GPS和Galileo系统为例进行讨论。第五章研究了GNSS接收机的前端技术,包括天线和信号下变频原理。第六章探讨卫星信号的捕获技术。第七章讨论卫星信号的跟踪、解调和伪距计算。第八章探讨导航定位解算方法。第九章简要介绍了
  3. 所属分类:GPS develop

    • 发布日期:2015-09-25
    • 文件大小:5882880
    • 提供者:单边
  1. ofdm-tx-rx

    0下载:
  2. Abstract Orthogonal frequency division multiplexing (OFDM) is becoming the chosen modulation technique for wireless communications. OFDM can provide large data rates with sufficient robustness to radio channel impairments. Many research centers
  3. 所属分类:Communication

  1. music(doa)

    0下载:
  2. 七单元天线阵MUSIC DOA估计: d=1 , 天线阵元的间距; lma=2, 信号中心波长; 四输入信号; A=[A1,A2,A3,A4], 得出A矩; 四信号的频率d=[1.3*cos(v1*n) 1*sin(v2*n) 1*sin(v3*n) 1*sin(v4*n)] 构造输入信号矢量 U=A*d 总的输入信号 总输入信号的协方差矩阵 [s,h]=eig(c) 求协方差的特征矢量及特征值 取出与零特征
  3. 所属分类:matlab

    • 发布日期:2017-11-15
    • 文件大小:747
    • 提供者:xiang
  1. LTEMIMOOFDM111

    0下载:
  2. 宽带无线通信是信息化社会发展的助推器,它正在改变人们的生活方式,人 们将可以在任何时候、任何地点享受数字冲浪、视频点播、时频会议等新的时髦。 MIMO系统的信道容量与发射端和接收端的最小天线数成线性关系,这使MIMO技 术成为LTE、B3G和未来4G系统中最有前途的技术之一。-Broadband wireless communication is the booster for the development of information society, it is changin
  3. 所属分类:Communication

    • 发布日期:2017-11-17
    • 文件大小:1436553
    • 提供者:liu
  1. OFDM-Sim-Using-Matlab

    0下载:
  2. Orthogonal frequency division multiplexing (OFDM) is becoming the chosen modulation technique for wireless communications. OFDM can provide large data rates with sufficient robustness to radio channel impairments. Many research centers in the w
  3. 所属分类:Software Testing

    • 发布日期:2017-11-03
    • 文件大小:377561
    • 提供者:lemaster
  1. Smith-software

    0下载:
  2. 史密斯原图软件的使用教程,简单易学。是天线领域的必修课-Smith' s use of original software tutorials, easy to learn. Antenna field is compulsory
  3. 所属分类:Project Manage

    • 发布日期:2016-01-26
    • 文件大小:1799168
    • 提供者:谷岳
  1. dipole2

    0下载:
  2. It s about 3d 3D DIPOLE ANTENNA DESIGN.
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:869
    • 提供者:小韩
  1. AICandMDL

    1下载:
  2. 经典的信息论准则估计信号源个数。 使用空间谱估计理论,模拟发射信号由天线阵列接受采样。经过AIC和MDL两种算法,估计出采样序列中信号的个数,所加噪声是白噪声。-Classical information theory for sources number estimation. It s spatial spectrum estimation theory. it analogs transmission signal from the antenna array . It uses tw
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1007
    • 提供者:康璐璐
  1. bysj

    0下载:
  2. 仿真频谱利用率为3bit/s/Hz的两根、三根、四根发射天线的误码率-The simulation spectrum utilization for 3 bit/s/Hz two, three, four transmitting antenna ber
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:363065
    • 提供者:郭聪聪
  1. bishe

    0下载:
  2. 仿真频谱利用率为3bit/s/Hz的两根、三根、四根发射天线的误码率以及 运用8psk调制仿真两根天线的误码率-The simulation spectrum utilization for 3 bit/s/Hz two, three, four transmitting antenna ber
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2316
    • 提供者:郭聪聪
  1. HFSS-Design-Kits-for-V.13

    0下载:
  2. Ansoft s Advanced HFSS Antenna Class is designed to build an understanding of HFSS s solution process and use this foundation to describe more advanced topics
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2255422
    • 提供者:taha
  1. Report-Milad-Vahedi

    0下载:
  2. In this project an adaptive beamforming technique is proposed based on directly minimizing the BER1. A particular approach that has shown real promise in achieving substantial capacity enhancements is the use of adaptive antenna arrays. A beamformer
  3. 所属分类:3G develop

    • 发布日期:2017-04-26
    • 文件大小:233185
    • 提供者:Milad
  1. Antenna-and-EM-modeling-with-MATLAB-(Makarov-S.N.

    0下载:
  2. This text uses the standard Matlab package in order to model and optimize radiation and scattering of basis RF and wireless communication antennas and microwave structures.
  3. 所属分类:Communication

    • 发布日期:2017-05-25
    • 文件大小:8285613
    • 提供者:javihil
« 1 2 3 45 6 »
搜珍网 www.dssz.com