CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - array antenna

搜索资源列表

  1. array-antennas

    2下载:
  2. 阵列天线方向图求解是阵列天线研究的基础,源码给出的是面阵以及圆阵的方向图求解-Antenna array is the basis of solving the antenna array is given, source array and circular array pattern solution
  3. 所属分类:CSharp

    • 发布日期:2017-04-13
    • 文件大小:1573
    • 提供者:jack
  1. beamform

    1下载:
  2. 阵列天线的波束形成以及极化特性,对阵列天线研究人员有一定的参考价值-Formation and polarization beam array antenna, has the certain reference value to the array antenna researchers
  3. 所属分类:CSharp

    • 发布日期:2017-04-10
    • 文件大小:812
    • 提供者:jack
  1. antenna---Copy

    0下载:
  2. pattern for antenna and its array
  3. 所属分类:LabView

    • 发布日期:2017-04-15
    • 文件大小:6433
    • 提供者:vivek
  1. GA-fortran

    0下载:
  2. 遗传算法 二进制编码 可以分析阵列天线等多目标的优化问题-Binary-coded genetic algorithm optimization problems can be analyzed, such as multi-target array antenna
  3. 所属分类:Algorithm

    • 发布日期:2017-05-15
    • 文件大小:3695337
    • 提供者:
  1. 14.DOAUnitary--ESPRITMATLAB

    0下载:
  2. 一种实现自适应波束形成算法,可用来进行阵列天线的DOA估计,并实现对干扰信号滤除-An implementation of adaptive beamforming algorithms can be used to estimate DOA array antenna and filter out interfering signals to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2125
    • 提供者:王斌
  1. STAP

    2下载:
  2. 空时自适应处理是阵列天线杂波抑制的一种有效方法,该代码是空时级联自适应处理的一种实现方式,供大家参考-Space-time adaptive processing is array antenna is an effective method of clutter suppression, the code is the space-time cascade an implementation of adaptive processing method, for everybody refere
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:1341
    • 提供者:wangmin
  1. smart-antenna

    0下载:
  2. smart antenna. WS=Z where Z=[1 0 0..]T & S is the stearing vector.Find W? STEP1:Input Number of Array Elements, The Separation Distance Between Elements, The Operation Frequency, The Angle of Incidence of The Desired Source Signal , The Angle of
  3. 所属分类:Editor

    • 发布日期:2017-04-08
    • 文件大小:276984
    • 提供者:bles9
  1. ARRAYS

    0下载:
  2. design array antenna
  3. 所属分类:Editor

    • 发布日期:2017-04-29
    • 文件大小:9363
    • 提供者:hassan
  1. ARRAY_taylor

    0下载:
  2. 相控阵天线综合,程序可以根据输入的阵列天线的参数,可以计算出所需天线的各单元激励信号。-Phased array antenna synthesis
  3. 所属分类:Algorithm

    • 发布日期:2017-05-07
    • 文件大小:1225804
    • 提供者:
  1. Taylor

    0下载:
  2. 相控阵天线中,对各个子阵的幅度加权不同达到降低旁瓣的目的,本程序是子阵加权(taylor)程序-The phased array antenna, for each sub array amplitude weighting of different reduce sidelobe purpose, this procedure is the subarray weighting (Taylor) program
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:616
    • 提供者:kkkbin
  1. Wideband-widescan-phased-array

    0下载:
  2. IEEE 近期发表的关于宽带宽角的天线阵列文章9篇-recently published papers in IEEE about wideband widescan antenna array
  3. 所属分类:Project Design

    • 发布日期:2017-06-01
    • 文件大小:13481595
    • 提供者:zhu
  1. AF4x4

    0下载:
  2. 3D Array Factor of a 4x4 planar array antenna
  3. 所属分类:Communication

    • 发布日期:2017-04-13
    • 文件大小:1595
    • 提供者:vismayee
  1. DL

    0下载:
  2. 该程序是利用对角加载算法求使得信噪比最大时的权矢量,为阵列天线领域-The program is the use of diagonal loading algorithm for making the maximum signal to noise ratio of the weight vector array antenna areas
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-12
    • 文件大小:745
    • 提供者:xuzhen
  1. gprs.gpr

    0下载:
  2. 一种自适应阵列天线波束赋形合成算法_解决很多问题-An adaptive array antenna beam forming algorithm to solve many problems _
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:151607
    • 提供者:杨颖
  1. MIMOSAR

    0下载:
  2. MIMO SAR programming. Array antenna SAR.
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:4609
    • 提供者:madanlal
  1. zhenlietianxiantu

    0下载:
  2. 阵列天线方向图随天线数目变化而变化的图像。-Array antenna pattern varies with changes in the number of antennas images.
  3. 所属分类:matlab

    • 发布日期:2017-04-29
    • 文件大小:12601
    • 提供者:刘晗
  1. model-of-antenna

    0下载:
  2. 针对天线阵列,偶极子等天线模式的仿真程序,可以调节波长,倾角等参数,并能画出二维和三维的图像-For antenna array, such as dipole antenna pattern simulation program, you can adjust the wavelength, inclination and other parameters, and can draw two-dimensional and three-dimensional images
  3. 所属分类:Communication

    • 发布日期:2017-04-25
    • 文件大小:29608
    • 提供者:杜先生
  1. sqaure

    3下载:
  2. 主要目的都是为了弥补各种算法的不足,发挥各种算法的优势,实现扬长避短的初衷。然而稍显不足的是,这些算法目前主要集中在解决阵列的二方向图波束赋形,例如笔形波束、平顶波束、余割波束等。实际上,共形阵方向图综合是一个三维优化问题,仅仅用二剖面赋形结果代替实质上的三维优化,难免与最终的实际情况有所差异。尤其是共形球面阵的波束成形问题,用二优化算法显然有些无能为力,比如空间波束指向就是一个三维问题。因此,本文研究了一种共形球面阵天线的三维方向图综合算法。-The main purpose is to co
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1417
    • 提供者:黄帅
  1. matlab

    0下载:
  2. 绘制普通端射阵天线的MATLAB程序。普通端射阵主瓣较宽,但是副瓣电平较小-Draw ordinary end-fire array antenna MATLAB program. Common end-fire array main lobe is wider, but smaller sidelobe level
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-27
    • 文件大小:21424
    • 提供者:
  1. array-factor

    0下载:
  2. Array factor antenna pattern
  3. 所属分类:matlab

    • 发布日期:2017-04-29
    • 文件大小:30603
    • 提供者:Ayman.m.m
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 49 »
搜珍网 www.dssz.com