CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartusII

搜索资源列表

  1. 8LED

    0下载:
  2. QuartusII平台下Verilog语言实现的8段LED显示程序-Verilog language QuartusII platform 8-segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:2929
    • 提供者:FantasyDR
  1. 8th-floor-elevator-controller

    0下载:
  2. ALTERA DE2仿真板 quartusII集成开发环境 8楼电梯控制器-8th floor elevator controller
  3. 所属分类:软件工程

    • 发布日期:2017-11-12
    • 文件大小:5169
    • 提供者:123
  1. cshiyan2012

    2下载:
  2. 基于EDA软件平台上,用硬件描述语言verilog设计完成分频器、计数器、串行移位输出器、伪码发生器、QPSK I/Q调制器、QPSK I/Q解调器,基于选项法中频调制器,再将各个模块综合起来组成一个完整系统;并用quartusII软件对其进行仿真验证。-EDA software platform based on the hardware descr iption language verilog design complete shift of the frequency divider,
  3. 所属分类:STL

    • 发布日期:2014-01-27
    • 文件大小:1906064
    • 提供者:赵旋
  1. ESysDesign

    0下载:
  2. quartusII 调试,产生可调频率的正弦波、方波、三角波-quartusII process, output sin wave, square wave, triangular wave
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-26
    • 文件大小:856944
    • 提供者:yang
  1. simplepwm

    0下载:
  2. quartusII调试,简单的pwm信号输出,FPGA初学者入门程序,高手勿进-quartusII debugging, not into simple pwm signal output FPGA beginner program, master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:154546
    • 提供者:yang
  1. signal_tap

    0下载:
  2. 对学习quartusii有很大的帮助,对逻辑分析仪的使用有更多的掌握-A great help for learning quartusii more mastery of the use of logic analyzer
  3. 所属分类:Project Manage

    • 发布日期:2017-12-02
    • 文件大小:352349
    • 提供者:马金柱
  1. PS2_Verilog

    0下载:
  2. QuartusII下基于Verilog的PS2接口设计和说明文档-QuartusII PS2 interface design based on Verilog and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1855541
    • 提供者:程亮
  1. With-asynchronous-reset-is-enabled

    0下载:
  2. 在QuartusII上对例2-1进行编辑、编译、综合、适配、仿真。说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。-With asynchronous reset and four addition counter synchronization is enabled
  3. 所属分类:Project Design

    • 发布日期:2017-11-12
    • 文件大小:225065
    • 提供者:剡圆圆
  1. LAB-16

    0下载:
  2. 用FPGA实现的性线反馈移位寄存器(LFSR)设计。整个工程在quartusII环境下,用verilog编程。-FPGA implementation of the line feedback shift register (LFSR) design. The whole project in verilog programming the quartusII environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:304314
    • 提供者:李娟
  1. QuartusII-errors-analysis

    0下载:
  2. Qartus中经常会出现警告和报错,此文档用来分析一些常有的错误。-The often appear Qartus warning and error, this document is used to analyze some of the common errors.
  3. 所属分类:Communication

    • 发布日期:2017-11-22
    • 文件大小:134053
    • 提供者:李博
  1. fft_8

    0下载:
  2. 基于quartusiI的8位傅立叶变换。verlog程序加仿真。-Based quartusiI eight Fourier transform. verlog program plus simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:22291608
    • 提供者:一棵树
  1. tt_qsys_design

    0下载:
  2. Altera Qsys设计实例,软件需要QuartusII 11.0以上版本-Qsys Tutorial Design Example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:224124
    • 提供者:renaifeng
  1. fft_1024

    0下载:
  2. 1024点FFT处理器,能通过quartusII验证通过-1024 point fft code,can pass the test of the software quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2742
    • 提供者:王喆
  1. FPGA-DDS

    0下载:
  2. 基于FPGA的DDS实现QuartusII工程和论文-Based on the FPGA DDS QuartusII project and paper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:5077426
    • 提供者:左向利
  1. 8051_IP_DOC

    0下载:
  2. K8051单片机是以由VQM原码(Verilog Quartus Mapping File)表达的,在QuartusII环境下能与VHDL、Verilog等其他硬件描述语言混合编译综合,并在单片FPGA中实现全部硬件系统,并完成软件调试。-K8051 microcontroller in by the the VQM original code (Verilog Quartus Mapping File) expression, can under in QuartusII environmen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:149263
    • 提供者:zyb
  1. QuartusII--installed-r

    0下载:
  2. Quartus2 的安装和使用-Quartus2 install and use. . . . . . . . . . . .
  3. 所属分类:software engineering

    • 发布日期:2017-11-22
    • 文件大小:425991
    • 提供者:李篪
  1. I2C_EEPROM

    0下载:
  2. 1. 本测试是夏宇闻 verilog数字系统设计教程,中的例程。 2. 编译环境Quartusii 3. 仿真环境Modelsim se 6.5d 4. 可综合部分已经经过quartus 验证正确 5. 仿真部分通过将I2C模块与一个EEPROM模型组合,通过时序仿真-EEPROM_I2C Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:4738966
    • 提供者:刘栋
  1. DZ07E1_08Z_LCQ

    0下载:
  2. 基于FPGA的交通控制器,在QuartusII+VHDL-FPGA-based traffic controller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-11
    • 文件大小:1043776
    • 提供者:liuchengqiao
  1. pj

    0下载:
  2. 带有进位位的加法器、用vhdl语言编写。已通过quartusII编译-With the carry bit adder
  3. 所属分类:Software Testing

    • 发布日期:2017-12-04
    • 文件大小:259699
    • 提供者:祝小菜
  1. UART

    0下载:
  2. 本论文使用Verilog HDL 语言描述硬件功能,利用QuartusII 5.0在 FPGA 芯片上的综合描述,采用模块化设计方法设计UART(通用异步收发器)的各个模块。-The paper using Verilog HDL language to describe hardware features, the use of the FPGA chip QuartusII 5.0 comprehensive descr iption of the modular design approa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:312416
    • 提供者:wangjianyuan
« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 ... 33 »
搜珍网 www.dssz.com