CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 信号与系统分析

搜索资源列表

  1. SCM_Data_Acquisition

    0下载:
  2. 这篇实验报告是关于单片机多路数据采集的,本实验由2大部分组成:1、为用EPOROM构成的心电信号发生器;2、为多路信号的微机采集与显示;第一部分实验主要研究可编程序存储器EPROM的非计算机应用。把存储在EPROM中的数字心电信号读出并通过D/A转换为模拟信号显示在示波器屏幕上。 第二部分实验的目的是研究一个数据采集系统,该系统利用ECD-51型单片机为中心,由D/A芯片等将各种低频信号以及由EPROM产生的模拟人体心电信号变换成离散的数字信号存入微机内存,以待进行数据处理和分析,然后再通过
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:75321
    • 提供者:蔡育瑜
  1. data

    1下载:
  2. 数字信号处理的Matlab实现的随书光盘,有时谱分析、小波变化、地震观测系统仿真与地面运动恢复等几个数字信号前沿课题,有实用价值
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:739046
    • 提供者:元欣
  1. congqidainlanzidongjianchexitong

    0下载:
  2. 本程序是一种先进的充气电缆气压自动监测系统的程序。其特点是可以对电缆线路上各点的气压值进行24小时实时监控。使管理人员可以实时掌握电缆内的气压情况,及时发现漏气隐患,从而保证通信畅通。 系统采用性能价格比较好的AK-3 型压力传感器,直接输出0~5V电压信号。电压信号的转换采用串行接口的12位A/D转换器TLC2543,精度和速度都能很好地满足系统要求。仪器的CPU采用目前低价位但技术十分成熟的AT89C51,仪器的LED数码管显示/驱动芯片采用接口简单但功能强大的HD7279。本系统提供日
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6181
    • 提供者:杨志亮
  1. data-research-and-system-design-by-usb-on-dsp54x.r

    0下载:
  2. 根据信号分析技术的特点和发展要求,本文结合DSP、USB与EDA技术提出了基于DSP的USB口实时动态信号分析系统。论述了系统的软硬件 实现,尤其重点详细描述了基于USB技术的通信模块的实现。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:4554479
    • 提供者:xcs
  1. DBPSK

    0下载:
  2. 绍了数字通信中的Q PSK 调制解调的原理和过程, 通过用M atlab 对这一过程的编程, 分析信号在 理想信道和加噪信道中模拟传输时的时域图, 并用蒙特卡罗方法, 讨论模拟过程中的误码率, 所得结果与理论 结果基本一致. 关键词:Q PSK 系统仿真 蒙特卡罗分析 M atlab
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1270
    • 提供者:zhanghuan
  1. reaserch

    0下载:
  2. 移动心电监护系统ECG信号的智能检测与分析方法研究
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3764787
    • 提供者:江山
  1. 13898375FPGA_FIR

    0下载:
  2. 尽管频率合成技术已经经历了大半个世纪的发展史,但直到今天,人们对 它的研究仍然在继续。现在,我们可以开发出输出频率高达IG的DDS系统, 武汉理工大学硕士学位论文 已能满足绝大多数频率源的要求,集成DDS产品的信噪比也可达到75dB以上, 已达到锁相频率合成的一般水平。电子技术的发展己进入数字时代,模拟信号 数字化的方法也是目前一个热门研究课题,高速AD、DA器件在通信、广播电 视等领域的应用越来越广泛。本次设计完成了软件仿真和硬件实现,对设计原 理和设计结果进行了一
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:152436
    • 提供者:包真
  1. MATLAB6.x

    0下载:
  2. MATLAB是一套功能强大的工程计算及数据分析软件,广泛应用于工业、电子、医疗、建筑及航空业等领域。本书系统介绍了MATLAB 6的基础知识,包括程序设计环境、基本操作、绘图功能、 M文件及稀疏矩阵;详细阐述了MATLAB 6在数字信号处理中的应用,主要有离散信号、离散系统及其结构的MATLAB实现、MATLAB中的信号变换、基于MATLAB的 IIR DF与 FIR DF设计以及基于MATLAB的功率谱估计等。另外,本书同时提供了MATLAB 6中 24类基本命令函数的子目录及其含义,以及M
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:4761626
    • 提供者:程序员
  1. baojingqi

    0下载:
  2. 80C196单片机由于低格低、处理能力强,在信号分析、数据采集等很多领域得到了广泛应用,在目标系统中使用各种规格的液晶或CRT显示器也越来越多。因此在这样的单片机系统中引入鼠标,将会方便操作,提高工作效率。鼠标内核本质上是一个二维的角度或位移信号检测装置,耗电极少、可靠性高、价格低廉,可能在许多场合发挥作用。鼠标与主机之间通过RS-232标准串行接口进行通信,信息传送是单方向、无条件、无应答连续进行的。此外80C196的UART不直接支持鼠标的接口协议,在程序设计中必须予以注意。本文主要探讨80
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:59163
    • 提供者:hyc
  1. CAUSA

    0下载:
  2. CAUSA: 基于密码子-氨基酸联合序列比对构建高精确度分子进化树 生物信息学、基因组学(包括功能基因组学和比较基因组学)的主要目的是研究生物基因、蛋白和基因组的结构和功能,并重构生物基因、蛋白和基因组的进化历史。多序列比对(multiple sequence alignment, MSA)是DNA和蛋白质分子进化分析、以及结构与功能研究的基本工具。目前多序列比对已经有很多方法,如clustalw, MUSCLE, MAFFT, T-Coffee, PRANK,等等,但序列比对依然容易产生系统性
  3. 所属分类:其它

  1. EMD-Toolbox

    6下载:
  2. EMD的Toolbox及使用方法 经验模态分解(Empirical Mode Decomposition, 简称EMD)是由美国NASA的黄锷博士提出的一种信号分析方法.它依据数据自身的时间尺度特征来进行信号分解, 无须预先设定任何基函数。这一点与建立在先验性的谐波基函数和小波基函数上的傅里叶分解与小波分解方法具有本质性的差别。正是由于这样的特点, EMD 方法在理论上可以应用于任何类型的信号的分解, 因而在处理非平稳及非线性数据上, 具有非常明显的优势。所以, EMD方法一经提出就在不同的
  3. 所属分类:matlab

    • 发布日期:2014-06-24
    • 文件大小:3054592
    • 提供者:商志远
  1. pcb

    0下载:
  2. 高速PCB设计中的串扰分析与控制 物理分析与验证对于确保复杂、高速PCB板级和系统级设计的成功起到越来越关键的作用。本文将介绍在信号完整性分析中抑制和改善信号串扰的方法 -High-speed PCB design crosstalk analysis and control for physical analysis and verification to ensure that the complex, high-speed PCB board level and system-le
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:75632
    • 提供者:lihuagui
  1. CommonlyusedMATLABfunctioreference

    0下载:
  2. Matlab是Mathwork公司推出的一种高 性能的数值计算和和可视化软件, 其全称为Matrix Laboratory,亦称矩阵实验室,它在数值分析、信号处理、系统辨识、特殊函数和图形等方面具有广泛的应用。MATLAB本书提供啦其大部分常用的函数名与代码-Matlab are Mathwork company introduced a high-performance computing and the numerical and visualization software, its wh
  3. 所属分类:matlab

    • 发布日期:2017-04-24
    • 文件大小:232072
    • 提供者:万青松
  1. jiyushilianglianghuadeshuohrshibe

    0下载:
  2. 基于矢量量化的说话人识别本文从语音信号的预处理开始分析, 主要研究了特征参数的选择、提取、及识别算法,应用全极点模型,提取了语 音信号的线性预测倒谱系数和美尔倒谱系数,并进一步获得其一阶差分,将倒 谱系数与其一阶差分结合在一起形成新的特征参数。在识别算法方面,本文对 矢量量化的方法进行了研究,用Matlab语言实现了说话人识别系统的仿真与验 证。实验证明这种参数与单纯的线性预测倒谱系数和美尔倒谱系数相比更为有 效。- speech paper,help you study
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-05-19
    • 文件大小:5144659
    • 提供者:海豚
  1. Bit_error_rate_performance_of_lightwave_hybrid_AM_

    0下载:
  2. 摘 要:本文分析了在限幅噪声和高斯噪声环境下,在HFC 网中混合传输AM/ OFDM信号时的误 码特性,并与单载波AM/ QAM系统进行比较,结果表明OFDM系统由于多个子通道的稀释作用,其 抗限幅噪声性能比单载波系统好。 关键词:正交频分复用 HFC 网 限幅噪声 误码率-Abstract :Theoretical analysis is presented for the BER performance of hybrid AM/ OFDM system in the pres2
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:150549
    • 提供者:king
  1. examples

    0下载:
  2. 包括基本信号处理、离散系统时频域分析,滤波器原理与设计,以及高级信号处理相关例程(It includes basic signal processing, discrete system time-frequency analysis, filter principle and design, and advanced signal processing routine)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-28
    • 文件大小:180224
    • 提供者:缪缪缪缪
  1. psat-2.1.9-mat

    0下载:
  2. 电力系统分析软件包,包含了:PF-潮流计算;CPF-连续潮流;OPF-最优潮流;SSSA-小扰动分析;TDS-时域仿真;GUI-用户人机界面;GNE-自定义模型等功能。经过验证,该工具包已经可以计算上千节点规模的系统。而且该软件包源代码完全公开,因此用户可以根据自己的研究兴趣编写修改相应源代码实现研究目的。同时, 依托于Matlab 的强大计算功能以及丰富的控制、信号处理、鲁棒控制、模糊控制等工具箱, 使得PSAT 可以把控制科学、信号处理等方面的新思想与电力系统的传统仿真计算有机地结合起来(P
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:1721344
    • 提供者:悠幽之木
  1. chapter1

    0下载:
  2. 《通信系统建模与仿真》第一章程序,主要是简单信号的仿真分析(The first chapter of communication system modeling and simulation. It is mainly a simulation analysis of simple signals)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-11
    • 文件大小:3072
    • 提供者:娟丽wxs
  1. z变换

    1下载:
  2. 采用z变换分析信号和系统的频域特性的方法,加深对离散系统的频率响应分析和零极点分布概念的理解。 1. 已知一个线性时不变因果系统,用差分方程描述为 y(n)= y(n-1)+ y(n-2) +x(n-1) (1) 求出该系统的系统函数,并绘制出零极点分布图,指出其收敛域。 (2) 求系统的冲激响应。 (3) 如果该系统是不稳定系统,则求出其满足稳定系统的冲激响应。 (4) 绘制出系统函数的幅度响应曲线。 (5) 实验前根据零极点分布图大致绘制出此系统的幅度响应曲线,和试验后调用函
  3. 所属分类:matlab例程

    • 发布日期:2019-10-31
    • 文件大小:187392
    • 提供者:miaomin
  1. 89程序

    1下载:
  2. 系统以单片机为核心,通过指纹识别或者IC识别或者输入密码进行解锁。同时还 包括密码储存、更改,指纹的采集、更改,刷卡的采集、识别、储存,自动报警等 功能。能够实现门禁系统的智能化,同时采用显示屏进行实时显示,方便使用。 智能门禁系统的输入外围设备即非接触式读卡器进行读卡操作或者矩阵键盘进行密码输入操作时,对应的以IAP15F2K61S2芯片为核心的单片机主控制电路对输入信号与已存储数据库中的数据进行分析对比,12864液晶显示屏将会显示出相应的输入内容以及对此信号做出的判断,数据存储部分也
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-04-29
    • 文件大小:234496
    • 提供者:honghong222
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 17 »
搜珍网 www.dssz.com