CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 时钟

搜索资源列表

  1. 液晶时钟

    0下载:
  2. 用于个人网站建设的液鲸时钟代码.用HTML语言编的 -for personal websites on the whales clock source. Using HTML language series
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:849
    • 提供者:才杰
  1. flash时钟代码

    0下载:
  2. 用于个人网站建设用的时钟代码 用HIML语言编的-for personal use website building with a clock source language series hole
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:889
    • 提供者:才杰
  1. 带开关的时钟

    0下载:
  2. 用于个人网站建设用的带开关的时钟代码 用HIML语言编的-personal website for the building with a clock switching code used language series hole
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2383
    • 提供者:才杰
  1. Csharp写的时钟程序

    0下载:
  2. 一个很不错的时钟程序。 界面美观且个性化,易操作。-a very good clock procedures. Beautiful interface which personalized and easy to operate.
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:89356
    • 提供者:wl
  1. 实时时钟芯片C51编程

    0下载:
  2. 实时时钟芯片C51程序,可以精确的驱动芯片进行实时时钟显示-real-time clock chip C51 procedures, the driver can accurately in real-time clock chip show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8990
    • 提供者:杨超
  1. 简易时钟

    0下载:
  2. 小飞侠再战江湖之Delphi 5上手七日谈程序代码之简易时钟-PAN future battles Delphi five corners to get started on the 7th code would simplify clock
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:142153
    • 提供者:马龙
  1. 数字时钟keil c

    0下载:
  2. keil c数字时钟源程序 简单好用,加了详细注释。在keil c下-keil the c numeral clock source program simple was easy to use, added the detailed annotation. In keil c next
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2553
    • 提供者:晓辉
  1. cygnal上的数据采集的程序(带实时时钟)

    0下载:
  2. cygnal上的数据采集的程序(带实时时钟),带有键盘,EEPROM,-the data collection procedures (with the real-time clock) with a keyboard, EEPROM,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:182219
    • 提供者:汪公社
  1. 6位数码管时钟程序2222

    0下载:
  2. 数码6位数码管时钟程序2222  6 位数码管多功能时钟程序 74HC164驱动LED 显示 74hc138进行位选 Creat By: 单片东东 2004.12.28 bian xie-six digital clock control procedures 2222 6-bits digital clock control procedures 74HC164 multifunctional LED Display Driver 74hc138 for Creat
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:2609
    • 提供者:随风
  1. windows时钟升级(增加农历)1.0 3.22

    0下载:
  2. windows系统的小时钟,能够查询阴历,很好用!vc编写,与大部分windows系统兼容!-windows of the small clock, inquiries to the lunar calendar and is useful! Vc preparation, with the majority of windows systems compatible!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:490371
    • 提供者:刘可
  1. 电子时钟实例(汇编)

    0下载:
  2. 电子时钟实例,但是还不够完美,有兴趣的人可以对它进行修改。-electronic clock examples, but not yet perfect, interested persons can be adapted.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:16142
    • 提供者:peng
  1. DS12c887时钟的驱动程序

    1下载:
  2. 51单片机与dallas公司的ds12c887时钟芯片通信的汇编程序,单片机可以对时钟芯片设置时间,读取时间,设置alarm时间等操作-51 MCU and dallas company ds12c887 clock communications chip assembler, SCM can set the time clock chip, reading time, set alarm time operation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1400
    • 提供者:梁波
  1. 一个数字时钟的图形的工程

    0下载:
  2. 一个数字时钟的图形的工程使用VC开发的,具体的可以自己下来看-a digital clock in the graphics used by the VC, concrete can be viewed themselves
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:248672
    • 提供者:蓝皮虎
  1. 产生毫秒级时钟延时的程序

    0下载:
  2. 产生毫秒级的时钟....希望对大家有用-have millisecond clock .... hope useful for all
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:32910
    • 提供者:MAN
  1. 精致的小时钟

    0下载:
  2. 汇编精致小时钟 希望能对大家用到-compilation of exquisite small clock we hope to use
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:5473
    • 提供者:MAN
  1. E_Clock 仿真时钟

    0下载:
  2. 统一网内的微机原理....用汇编语言编写的时钟-unified network of computer theories .... assembler language clock
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:179812
    • 提供者:MAN
  1. 汇编 时钟

    0下载:
  2. 时钟程序电子表 电子表 -procedures clock watch watch watch
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1034
    • 提供者:xiaobao
  1. 用java编写的时钟

    0下载:
  2. 这个是用面向对象编程语言java编写的小时钟程序,大家来参考嘛-this the object-oriented programming language java prepared by the small clock procedures, we refer to the fragile ~
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:6208
    • 提供者:洪杰
  1. 显示系统时钟

    0下载:
  2. 电子指针时钟,适合汇编课程设计的同学,本人是学生,就修改它做课程设计的-clock electronic pointer, for the compilation of curriculum design students, I students, it has done on revising the curriculum design
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:118685
    • 提供者:阿飞
  1. 时钟小程序

    0下载:
  2. 这个程序重点改进了时钟的的表针的算法;而且增加了多个可以由用户自定义的功能,这样主要的属性定义都交给用户,方便得多了。 -focus on the process of improving the hand of the clock algorithm; but the number could increase by the user-defined function, a major attribute definition to the user, is much more conveni
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:12188
    • 提供者:阿牛
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com