CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 模拟时钟

搜索资源列表

  1. vc_xp_small_analog_clock_classic_code

    0下载:
  2. vc模拟xp的小时钟的经典代码vc xp small analog clock classic code-vc xp small analog clock classic code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:76512
    • 提供者:bbn
  1. 115157725quickclock103

    0下载:
  2. 可实现模拟时钟对话框的在屏幕的右下角的显示效果。-Dialog box allows analog clock in the lower right corner of the screen display.
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-16
    • 文件大小:18193
    • 提供者:
  1. Clock

    0下载:
  2. 一个小时钟的vc实现代码,模拟时钟的指针转动的,-A small clock in the implementation code, analog clock,
  3. 所属分类:ComboBox

    • 发布日期:2017-04-01
    • 文件大小:64704
    • 提供者:sasa
  1. AnalogClock.tar

    0下载:
  2. MiniGUI的一个模拟时钟程序,主要运行环境为Linux下的MiniGUI模拟环境qvfb或者交叉编译后在嵌入式开发板上运行。-MiniGUI an analog clock program, mainly for the Linux operating environment under the simulated environment qvfb MiniGUI or cross-compiled to run in the embedded development board.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:563200
    • 提供者:Cevin
  1. AnalogClock

    0下载:
  2. 一个用QT写的模拟时钟, 希望大家共同学习-an analogclock write by QT
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-26
    • 文件大小:2339
    • 提供者:卢水柏
  1. ClockTest

    0下载:
  2. 时钟测试程序,效果非常好,模拟时钟的各种性能及更新-the program of clock test
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:28179
    • 提供者:林冲
  1. 6

    0下载:
  2. 全屏幕模拟时钟的C源程序:黑色 白色 红色 灰色 绿色 蓝色 青色 黄色 背景 白色 黑色 粉红 灰色 绿色 蓝色 青色 综色-Full-screen analog clock in the C source: black white red green blue cyan gray background white black pink yellow green blue cyan gray colors comprehensive
  3. 所属分类:Other Games

    • 发布日期:2017-04-08
    • 文件大小:1174
    • 提供者:chenlidan
  1. clock_algorithm

    0下载:
  2. 模拟时钟算法编写和调试存储管理的模拟程序以加深对存储管理方案的理解-Write and debug analog clock algorithm storage management simulation program to enhance the understanding of storage management solutions
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:510098
    • 提供者:luguifang
  1. qtclockARM10

    0下载:
  2. 用QT写的嵌入式LINUXARM10下的模拟时钟程序-Written with QT embedded LINUXARM10 procedures under the analog clock
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-07
    • 文件大小:25438
    • 提供者:dofain
  1. wy2011

    1下载:
  2. 本实例要求学习基于MFC的WINDOWS应用程序设计,编写一个模拟时钟程序,此程序在屏幕左方有一个指针式钟面,右方有两个矩形框,上面以数字方式显示日期和时间,该时间应与指针显示的时间一致,下方的矩形框作为秒表。用菜单选项或按钮设置时间和秒表。时间不必与机器系统时间相同,可任意设置。-Instances required to learn the MFC-WINDOWS-based application design, the preparation of an analog clock pro
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-09
    • 文件大小:1956473
    • 提供者:王勇
  1. clock

    0下载:
  2. 实现模拟时钟转动的程序,在c++平台或TC上运行。-For analog clock rotation procedure in c++ running on the platform or TC.
  3. 所属分类:CSharp

    • 发布日期:2017-04-09
    • 文件大小:1455
    • 提供者:lin
  1. Upgrade-digital-clock

    0下载:
  2. 一个简易的模拟时钟源程序代码,加按键调整功能。-A simple analog clock source code, plus key adjustment.
  3. 所属分类:CSharp

    • 发布日期:2017-04-09
    • 文件大小:17699
    • 提供者:陈平
  1. clock

    0下载:
  2. 以实例为背景学习基于MFC的WINDOWS应用程序设计,编写一个模拟时钟程序-The context of examples to learn MFC-WINDOWS-based application design, preparation of an analog clock program
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:461802
    • 提供者:顾晨瑜
  1. 1602clock

    0下载:
  2. 1602单片机时钟,模拟学校作息时间。 可随时设定时间。-1602 microcontroller clock, simulated school schedule. Set the time at any time.
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1552
    • 提供者:秦志华
  1. screenTest2.tar

    1下载:
  2. qt下的屏保程序,模拟时钟显示。包含了键盘和鼠标的事件-qt under the screen saver, analog clock display. Includes keyboard and mouse events
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-04
    • 文件大小:4099
    • 提供者:董磊磊
  1. clock

    0下载:
  2. 使用VFP实现模拟时钟的效果,简单而实用!-For analog clock with the effect of VFP, simple and practical!
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1314
    • 提供者:lhzxbw
  1. LCD12864Pds12887Pds18b20P89c54

    1下载:
  2. LCD12864+ds12887+ds18b20+89c54模拟时钟显示-LCD12864+ ds12887+ ds18b20+89 c54 analog clock display
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2365141
    • 提供者:冯峰
  1. timer

    0下载:
  2. 模拟时钟效果的应用程序代码,用VC写成 和实际时钟效果一致-Effect of analog clock application code written in VC and the actual clock with the same effect
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-16
    • 文件大小:88423
    • 提供者:leechao
  1. fangzhendianti

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随机地进出于任何层。对每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t测试一次,若无人进出,则关门;关门和开门各需要20t;每个
  3. 所属分类:Data structs

    • 发布日期:2017-03-31
    • 文件大小:228646
    • 提供者:fairybroken
  1. clock

    0下载:
  2. 一个简单的全屏幕模拟时钟,用来实现课程设计-a simple full screen clock
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:629
    • 提供者:酱香拿铁
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 36 »
搜珍网 www.dssz.com