CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FIFO

搜索资源列表

  1. Optimal

    0下载:
  2. 存储管理中页面置换算法性能测试 要求:设系统采用固定分配局部置换的存储分配策略,编写仿真程序对下述页面 置换算法进行性能测试,并对结果进行分析和比较。 (1) 最佳适应(Optimal)页面置换算法; (2) 先进先出(FIFO)页面置换算法; (3) 最近最久未使用(LRU)页面置换算法; (4) 最少使用(LFU)页面置换算法。 要求可适用于键盘输入和自动产生随机页面走向序列两种数据输入方式。-Memory management page repla
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-31
    • 文件大小:2083
    • 提供者:pauly_917
  1. int_uart8051

    0下载:
  2. UART realization for at89c5131 with FIFO and interrupts.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:1223
    • 提供者:melg
  1. FIFO.OPT

    0下载:
  2. 操作系统课程设计(源码和报告) 请求页式管理缺页中断模拟设计--FIFO、OPT-Operating systems curriculum design (source code and reports) request page management page fault analog design- FIFO, OPT
  3. 所属分类:OS Develop

    • 发布日期:2017-04-09
    • 文件大小:1090518
    • 提供者:wangyao
  1. FIFO3

    0下载:
  2. 这个是8*4位的,FIFO,,大家可作参考资料-This is 8* 4-bit, FIFO,, We can make reference
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:4836
    • 提供者:whywhy
  1. FIFO

    0下载:
  2. FIFO,vhdl实现,希望可以有帮助,大家加油-FIFO VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1493
    • 提供者:Carlin
  1. fifo123456

    0下载:
  2. 16*16位的先进先出队列FIFO程序,可作参考-16* 16-bit FIFO queue FIFO procedures, can be used for reference
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:693
    • 提供者:whywhy
  1. FIFO

    0下载:
  2. 这个是对先进先出队列FIFO的理解,希望能帮到大家,-This is the understanding of FIFO FIFO queue and hope to help you
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:7739
    • 提供者:whywhy
  1. fifo

    0下载:
  2. A First in first out buffer in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:550
    • 提供者:Ran
  1. fifo8

    0下载:
  2. FIFO 源程序,verilog HDL实现,自己验证过,没问题-FIFO source, verilog HDL to achieve their own verified, no problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1840
    • 提供者:fang
  1. fifotop

    0下载:
  2. 基于FPGA编写的VHDL语言,FIFO代码程序。 程序完整。-VHDL-based FPGA written language, FIFO procedure code. Complete the procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2100710
    • 提供者:李芳
  1. FIFO_test

    0下载:
  2. FIFO程序库,添加即可使用,一个非常实用的程序-FIFO library, add to the use of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:22858
    • 提供者:街角的蜗牛
  1. cc2430_lib_and_app_1.0

    0下载:
  2. CC2430RF代码,DMA方式发送,FIFO方式-RF CC2430
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:466519
    • 提供者:王超
  1. Virtualmemory

    0下载:
  2. 虚拟内存中四种置换算法: OPT/LRU/FIFO/时钟算法-Four types of virtual memory replacement algorithm: OPT/LRU/FIFO/clock algorithm
  3. 所属分类:OS Develop

    • 发布日期:2017-04-11
    • 文件大小:1331
    • 提供者:eddycen
  1. fifo

    0下载:
  2. To write data to the FIFO, present the data to be written and assert the write enable. At the next rising edge of the clock, the data will be written. For every rising edge of the clock that the write enable is asserted, a piece of data is written in
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:654
    • 提供者:guruguru
  1. fifo_vhdl

    0下载:
  2. FIFO的VHDL编程,其中包括FIFO的读,写,满帧,半满帧信号驱动-FIFO of the VHDL programming, including the FIFO' s read, write, full frame, half-full frame signal drive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:798
    • 提供者:刘石
  1. fifodd

    0下载:
  2. 一个深度为32,字长为8_bit FIFO(先进先出)寄存器,有寄存器空、寄存器满和寄存器溢出信号。-A depth of 32, word length for 8_bit FIFO (FIFO) register, a register space, register and register full signal overflow.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-04
    • 文件大小:80003
    • 提供者:tangyi
  1. os

    0下载:
  2. 实现分页式存储地址转换过程,在此基础上实现请求分页的地址转换。实现请求页式地址转换中出现的缺页现象时,用到的先进先出FIFO、最近最久未使用LRU、最佳OPT置换算法。 -The realization of paging memory address translation process, in this based on the address translation request page. The realization of Page Address Translation req
  3. 所属分类:OS Develop

    • 发布日期:2017-04-07
    • 文件大小:1068
    • 提供者:bartok
  1. 2

    0下载:
  2. 在MCS-51系列单片机应用系统中利用FIFO芯片AL422B实现数字图像的静态存储-In the MCS-51 series single-chip applications using FIFO chip AL422B static digital image storage
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:86340
    • 提供者:chenqiang
  1. UART

    0下载:
  2. A badic controller for the UART. It incorporates a -- transmit and receive FIFO (from Max+Plus II s MegaWizard -- plug-in manager). Note that no checking is done to see -- whether the FIFOs are overflowing or not. This strictly -- handles the
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:1648
    • 提供者:Viral
  1. simulator

    0下载:
  2. ssd5 fifo.h 模拟打印机全部源代码-Printer simulation ssd5 fifo.h
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:6201
    • 提供者:Tiantian
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com