CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - viterbi

搜索资源列表

  1. conven

    0下载:
  2. 此程序为(2,1,3)卷积编码和维特比硬译码的MATLAB程序-This program is (2,1,3) convolution coding and Viterbi decoding hard MATLAB program
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3143
    • 提供者:shayangyang
  1. ViterbiDetector_Main

    4下载:
  2. Viterbi (MLSE) algorithm for signal detection in ISI channel by Matlab Code
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:1691
    • 提供者:goodarz
  1. Convolutional-code

    1下载:
  2. 卷积码的编码过程,维特比译码的硬判决和软判决,等效基带模型的仿真,包括QAM调制和PSK调制-Convolutional code encoding process, the Viterbi decoding hard decision and soft-decision, equivalent baseband model simulation, including QAM modulation and PSK modulation
  3. 所属分类:matlab

    • 发布日期:2017-04-29
    • 文件大小:52551
    • 提供者:xgwx
  1. Conv_encodeaaViterbi_decode

    2下载:
  2. 卷积编码,QAM解调器的软比特信息输入viterbi译码器进行软判决译码。-Convolution coding, the soft bit information QAM demodulator input viterbi decoder and soft decision decoding.
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-13
    • 文件大小:1561
    • 提供者:queen
  1. viterbi27_sse

    1下载:
  2. viterbi decoder using sse intrinsics
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:2911
    • 提供者:sajad
  1. ViterbiDec

    1下载:
  2. 802.11协议中规定的卷积码,以及相应的维特比译码matlab仿真程序。-Conventional coder and its viterbi decoder, which defined in 802.11 protocol.
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:1745
    • 提供者:王新宇
  1. ViterbiDecoder

    0下载:
  2. 卷积码Viterbi译码,包括软判决和硬判决,别人上课的程序-Convolutional code Viterbi decoding, including soft decision and hard decision, others school programs
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-29
    • 文件大小:54742
    • 提供者:郑鹏
  1. 8003-MaC

    0下载:
  2. 16位维特比软判决。16QAM. 信号传输,解码-16 Viterbi soft decision. 16QAM.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-29
    • 文件大小:8052
    • 提供者:水彬
  1. ViterbiDecode

    0下载:
  2. Viterbi decoder for convolutional coding (133 171)
  3. 所属分类:3G develop

    • 发布日期:2017-04-13
    • 文件大小:2370
    • 提供者:crezol86
  1. source

    0下载:
  2. 德州仪器(TI)的TMS320C28x维特比的,复杂的数学和CRC 单元(VCU)库是高度优化的应用程序的集合 功能于C28x+ VCU写。这些功能使 程序员加速的高性能通信基础 算法多达8倍以上的C28x单独的一个因素。本文档 提供包括在库中的每个函数的描述。-The Texas Instruments TMS320C28x Viterbi, Complex Math and CRC Unit (VCU) Library is a collection of highl
  3. 所属分类:Energy industry

    • 发布日期:2017-04-29
    • 文件大小:40274
    • 提供者:Qingfeng Ma
  1. 217

    0下载:
  2. VITERBI 译码, 2,1,7码,解调卷积码编码,硬比特判卷方法-VITERBI DECODE
  3. 所属分类:3G develop

    • 发布日期:2017-04-12
    • 文件大小:1181
    • 提供者:cw
  1. code

    0下载:
  2. 卷积码的Viterbi译码方法编程,用C语言编写的卷积码的编码方法及Viterbi译码方法-convolutional code and decoding
  3. 所属分类:source in ebook

    • 发布日期:2017-04-13
    • 文件大小:1733
    • 提供者:陈梁文
  1. viterbi_4QAM

    0下载:
  2. this is a matlab file to calculate viterbi for a 4qam modulation with convolutional code
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:1414
    • 提供者:pestanias
  1. code

    0下载:
  2. 使用matlab进行卷积码编/译码器的仿真 进行Viterbi译码算法的编写-Write code using matlab convolution encoder/decoder Viterbi decoding algorithm simulation performed
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1429
    • 提供者:管天
  1. code

    0下载:
  2. Matlab(2.1.7)卷积编码译码 通信原理维特卷积译码-Matlab (2.1.7) convolution coding and decoding Viterbi decoding convolution Communication Theory
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5896
    • 提供者:wx
  1. HMM_Algorithm

    0下载:
  2. 隐马尔可夫模型中的向前算法及viterbi算法代码,VC++6.0运行通过-Hidden Markov Model algorithm forward and viterbi algorithm code, VC++6.0 run through
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1880089
    • 提供者:
  1. 217viterbi(matlab)

    0下载:
  2. matlab实现217 viterbi译码(硬判决,可直接运行,得到BER曲线)-matlab codes achieve 217 viterbi decoding (hard decision,can be run directly to obtain BER curve)
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3241
    • 提供者:李燕
  1. 217viterbi-decoding(C-language)

    1下载:
  2. C语言实现217卷积码的viterbi译码(软、硬判决的代码都有,运行时先在VC中分别运行软、硬判决的C程序,产生误比特率的数据并存储在.txt文件中,之后在matlab中读取数据,画出误比特率曲线,matlab程序也已给出)-C language achieve 217 viterbi decoding (including hard and soft decision,and the codes should be run in VC to generate and store the BE
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:2039080
    • 提供者:
  1. ham_sev_soft_hard

    0下载:
  2. Hamming code(7,4) soft viterbi decoding
  3. 所属分类:Editor

    • 发布日期:2017-04-12
    • 文件大小:1347
    • 提供者:Shoaib
  1. ham_sev_soft_viterbi

    0下载:
  2. Hamming code(7,4) soft viterbi decoding
  3. 所属分类:Editor

    • 发布日期:2017-04-12
    • 文件大小:1050
    • 提供者:Shoaib
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com