CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 数码管 动态 显示

搜索资源列表

  1. 数码管

    1下载:
  2. 一个数码管控件的实现,很漂亮的,可以逼真的动态显示数据-a digital control of the realization of the very beautiful, realistic dynamic display data
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:90912
    • 提供者:冀朝阳
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. sanjiguan

    0下载:
  2. 单片机开发程序 数码管动态显示其中MCU:STC89S51,52orAT89系列 晶体管12m-Single-chip digital control of dynamic development process showed that MCU: STC89S51, 52orAT89 series transistors 12m
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:884
    • 提供者:国庆
  1. ds18b20

    0下载:
  2. 温度测试 DS18B20 精确计算,含一位小数, 液晶显示,用的是独立的液晶板,数码管动态显示被测温度值-温度测试 DS18B20 精确计算,含一位小数, 液晶显示,用的是独立的液晶板
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:1530
    • 提供者:李飞
  1. DISPLAY

    0下载:
  2. 数码管动态显示,用到中断,是一个不可控制的时钟程序-fgfdgfdgfdgdfgdfdsfdsfdsdfd
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-12
    • 文件大小:680
    • 提供者:lizhijun
  1. BCDlight1998

    0下载:
  2. Proteus仿真51单片机控制多位数码管显示,BCD码控制数码管动态显示。 -51 microchip circuit system,simulated by proteus software ,control seven-segment lights.
  3. 所属分类:CSharp

    • 发布日期:2017-04-03
    • 文件大小:85763
    • 提供者:yangyi
  1. clock

    0下载:
  2. 设计一个多功能数字钟,在数码管上实现动态显示,闹钟,彩铃,万年历等功能。-The design of a multi-function digital clock, in the digital control to achieve dynamic display, alarm clock, polyphonic ringtones, calendar and other functions.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-04
    • 文件大小:44038
    • 提供者:shu
  1. Untitled7

    0下载:
  2. 用MATLAB动画效果描述器端数码管动态显示-Animation effects using MATLAB descr iption of the digital control device-side dynamic display
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:2060
    • 提供者:陈爱光
  1. shuma

    0下载:
  2. 数码管动态显示这是基于89C52控制的数码动态显示-Dynamic display of digital control is based on the control of digital dynamic display 89C52
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:8115
    • 提供者:沈嘉敏
  1. Lesson5

    0下载:
  2. 数码管动态显示程序,请需要的朋友下载一下,对你可能会有点用处的-led Nixie tube dynamic display
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:933
    • 提供者:陈强
  1. LCD

    0下载:
  2. 数码管动态显示接口,显示0~9给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。-Dynamic digital display interface to display 0 to 9 to give the impression that a stable set of display data, there will be no light flashes, the effects of dynamic display
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:23204
    • 提供者:hhz
  1. msp430f14x-anjianshiyan

    0下载:
  2. 实现8位数码管动态显示,显示内容在disp数组中, //输出位码,根据位置显示标志n决定显否-8 digital control dynamic display, the display content disp array,// ​ ​ output code, according to the location to display the flag n decided to significantly whether
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:82751
    • 提供者:张余
  1. 八只数码管动态显示

    0下载:
  2. 8 只数码管滚动显示单个数字 说明:数码管从左到右依次滚动显示 0~7,程序通过每次仅循环选通一只数码 管(Scroll from left to right in turn digital tube display 0 to 7,by each cycle gate only a digital tube)
  3. 所属分类:其他

    • 发布日期:2017-12-13
    • 文件大小:2015
    • 提供者:虚空I
  1. 1位数码管动态显示_QII视频讲解

    1下载:
  2. 数码管VHDL视频讲解,详细讲述了使用VHDL语言写的数码管程序(Digital tube VHDL video explanation, detailing the use of VHDL language written in digital tube procedures)
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:9449472
    • 提供者:一战神一
  1. 23-8位数码管动态扫描显示

    0下载:
  2. 23-8位数码管动态扫描显示(23-8 bit digital tube dynamic scanning display)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:8192
    • 提供者:6ic44ice
  1. 25-数码管显示动态数据

    0下载:
  2. 25-数码管显示动态数据(25- digital tube display dynamic data)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:9216
    • 提供者:0ey22wmo
  1. STM8L 1kk

    0下载:
  2. 数码管动态显示,数码管动态扫描基于stm8(Digital tube dynamic display)
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:157696
    • 提供者:老嘀
  1. 八位数码管的动态显示VHDL程序

    0下载:
  2. 7段数码管动态显示程序,高频动态显示多位数(7 segment digital tube dynamic display program)
  3. 所属分类:其他

  1. 数码动态显示

    0下载:
  2. 数码动态显示 51单片机是常用的单片机系统 定时器数码管显示数字是比较基本的功能(Digital dynamic display 51 single-chip microcomputer is commonly used microcontroller system, timer, digital tube display, digital is a basic function.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:107520
    • 提供者:董珍珍
  1. 七段数码管显示

    0下载:
  2. 使用可编程并行I/O接口芯片8255、地址译码器、七段数码管等硬件,搭建七段数码管显示装置,实现键盘输入两个数字的ASCII码、数码管可动态显示这两个数字的功能。(Using programmable parallel I/O interface chip 8255, address decoder, seven segment digital tube and other hardware, build seven segment digital tube display device, re
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:12288
    • 提供者:1064199360
« 12 3 4 5 »
搜珍网 www.dssz.com