CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 计时器 VHDL

搜索资源列表

  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. jishiqi

    0下载:
  2. 24小时计时器,本计时器能够实现时分秒的精确计时(测试可用)-24-hour timer, the timer to achieve the precise time when minutes and seconds (test available)
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:1585
    • 提供者:matao
  1. dip

    0下载:
  2. 计时器与出租车计价器源代码,编写语言为VHDL-Timer with the taxi meter source code, written language VHDL
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:39670
    • 提供者:金鑫
  1. VVHDL_32bit_tH

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出串口输出+数码码管显示的计时器程序每次停止后串口输出。,已通过测试。 -VHDL written 32 count, two four sun digital serial output tube output serial output the+ digital code to display the timer program each stop. , Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:245607
    • 提供者:表现
  1. eclock

    0下载:
  2. 使用vhdl语言实现一个集计时器,闹钟,整点报时为一体的电子钟-Electronic clock VHDL language as one of a set timer, alarm clock, the whole point timekeeping
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:965060
    • 提供者:王小飞
  1. myqdq

    0下载:
  2. 该项目实现了一个四路的智力抢答器的基本功能,像抢答鉴别,计时,计分等。-A responder that is realized in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:221260
    • 提供者:郭郭
  1. VHDL

    0下载:
  2. (1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用; 为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始。 (2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。 (3)主持人对抢答结果进行确认,给出倒计时计数允许信
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1707148
    • 提供者:黄培哲
搜珍网 www.dssz.com