CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 调制 vhdl

搜索资源列表

  1. MPSK调制与解调VHDL程序与仿真

    0下载:
  2. MPSK调制与解调VHDL程序与仿真,具有很高的参考价值!!vhdl代码!-MPSK modulation and demodulation process and VHDL simulation, high reference value! ! VHDL code!
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:80481
    • 提供者:温暖感
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. ppm

    1下载:
  2. 利用VHDL语言编写程序,实现PPM的调制功能,大家一起完善!
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1329
    • 提供者:陈晓红
  1. msk调制解调程序

    4下载:
  2. 实现数字载波的MSK调制和解调的程序,记事本格式 可在VHDL中运行仿真
  3. 所属分类:通讯编程

    • 发布日期:2011-04-13
    • 文件大小:4851
    • 提供者:liuliql_100
  1. bpsk1.rar

    0下载:
  2. 介绍qpsk调制的代码!初学者可以参考参考!比较简单.,Introduction QPSK modulation code! Beginners can refer to reference! Relatively simple.
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:1463
    • 提供者:daxiadian2
  1. ASK

    0下载:
  2. 通信系统的ASK调制程序,比较实用,包括完整的工程-ASK modulation communication system procedures, more practical, including the complete works
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:290781
    • 提供者:wanyou2345
  1. DQPSk

    0下载:
  2. 所属分类:Communication

    • 发布日期:2017-05-03
    • 文件大小:988063
    • 提供者:deng
  1. Baseband_line_code

    1下载:
  2. 本课程设计完成了基带线路码产生电路的设计,数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。本些课题实现了这样的编码过程。-This course is designed to use VHDL hardware descr iption language completed the base-band circuits hav
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:683092
    • 提供者:li
  1. MFSK-VHDl

    0下载:
  2. MFSK调制程序,里面有仿真结果,VHDL语言编写,语言简单,易学易用。-MFSK modulation process, there are simulation results, VHDL language, language is simple, easy to learn and use.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:63298
    • 提供者:chenqin
  1. 61EDA_B408

    0下载:
  2. 基于VHDL硬件描述语言---对基带信号进行MPSK调制(这里M=4),即QPSK调制-asfgsfagsdgfhergew
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2107
    • 提供者:撒旦撒
  1. timing_recovery

    0下载:
  2. 我对一个输入调制信号:采样率FS=1200K,中心频率F0=300K,带宽300K。输入信号为一个[样点数,2]的矩阵,即I,Q两路. 进行频谱搬移,分为I,Q分量两路进行矢量乘法,NCO的设置为FC=300K,t=样点数乘以1/FS, 乘完以后我的频谱上显示竟然信号带宽增加了300K,但是中心频率没有改变,请问各位朋友是哪儿出了问题?谢谢您的阅读和意见-Digital Down Converter for matlab realized, certain design speci
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:22174
    • 提供者:Jose
  1. digital_down_convertation

    0下载:
  2. 我对一个输入调制信号:采样率FS=1200K,中心频率F0=300K,带宽300K。输入信号为一个[样点数,2]的矩阵,即I,Q两路. 进行频谱搬移,分为I,Q分量两路进行矢量乘法,NCO的设置为FC=300K,t=样点数乘以1/FS, 乘完以后我的频谱上显示竟然信号带宽增加了300K,但是中心频率没有改变,请问各位朋友是哪儿出了问题?谢谢您的阅读和意见-Digital Down Converter for matlab realized, certain design speci
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-05
    • 文件大小:2123
    • 提供者:Jose
  1. 3FSK.vhd

    0下载:
  2. 利用MAXPLUS作为仿真工具,用VHDL语言编程,采用频率键控法实现3FSK调制。对输入的系统时钟分别进行2分频,4分频和8分频得到这3种频率。通过对数字基带信号进行双二进制编码得到3个电平值,把它们作为三选一开关,来分别选择不同的频率值、选择不同的信号,从而实现3FSK调制。-As a simulation tool used MAXPLUS using VHDL language programming, using frequency shift keying modulation me
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:3669
    • 提供者:雷月
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. bysj

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:6364
    • 提供者:张启富
  1. OFDM_modulator_demodulator

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1753
    • 提供者:松松
  1. gmsk-VHDL

    0下载:
  2. vhdl仿真gmsk调制过程,北邮通信原理课设-class set of the the vhdl simulation gmsk modulation process, Beijing University of Posts and Telecommunications Communication Theory
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:160421
    • 提供者:hhy
  1. FSKVHDL

    0下载:
  2. FSK调制VHDL源程序: --文件名:PL_FSK --功能:基于VHDL硬件描述语言,对基带信号进行FSK调制 -FSK modulation VHDL source code:- File name: PL_FSK- Function: Based on the VHDL hardware descr iption language, the baseband signal FSK modulation
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:3413
    • 提供者:柴国建
  1. VHDL-2FSK

    1下载:
  2. 用VHDL语言对方波信号进行2FSK调制与解调,包括仿真图及程序。-VHDL language 2FSK each wave signal modulation and demodulation, including simulation diagram and procedures.
  3. 所属分类:Other windows programs

    • 发布日期:2016-05-10
    • 文件大小:626688
    • 提供者:邹邹
  1. ppm

    1下载:
  2. 使用fpga达成的最短脉冲检测、窄脉冲成型模块程序,语言为vhdl,可用于ppm调制与解调项目设计(The program of the shortest pulse detection based on FPGA is VHDL, which can be used in PPM modulation and demodulation project design)
  3. 所属分类:其他

« 12 »
搜珍网 www.dssz.com