CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 频率计

搜索资源列表

  1. plj

    0下载:
  2. 本程序为VHDL编写的频率计,测频范围从0.1Hz到1G-VHDL procedures for the preparation of the frequency meter, measuring frequency range from 0.1Hz to 1G
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:7586
    • 提供者:tmx
  1. dsjsq

    0下载:
  2. 频率计程序,用计周期的个数来实现。程序有些繁琐希望有高手来简化和修改-Cymometer procedures, with the number of cycles of dollars to achieve. Some hope that the cumbersome procedure with a master changes to simplify and
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2368
    • 提供者:梁朝博
  1. (2)

    0下载:
  2. 基于AT89C2051单片机的频率计设计的软件编程程序-based on the frequency meter design software programming procedures
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:1496
    • 提供者:
  1. visual_counter

    0下载:
  2. c的功底真的不错 跟硬件也有很大关联。 用虚拟声卡制作频率计-the foundation really good with the hardware have great relevance. Virtual sound production Cymometer
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:127435
    • 提供者:wang
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. sound-tool

    1下载:
  2. 声卡是个人电脑中不可缺少的一部分,同时也是一个很好的A/D,D/A卡,本软件充分利用了这一功能。本软件实现了示波器,信号发生器,频率计,万用表的功能,在音频范围内可完全替代上述仪器。这并不是仿真软件,而是实用的工具,这些虚拟仪器可以很好的工作。最简单的应用只需要两根电缆,一根输入,一根输出。-PC sound card is an indispensable part, it is also a good A / D, D / A card. The software makes full us
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:70212
    • 提供者:qerde
  1. 用AT89S51制作的高精度2.4G数字频率计源程序

    0下载:
  2. AT89S51制作的2.4G频率记-AT89S51 2.4G frequencies produced by the mind
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:2977
    • 提供者:
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. 6bit_cymometer

    0下载:
  2. 这是一个16位数显频率计数器,是由51单片机作为核心的-16-digit frequency counter significant
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1302
    • 提供者:yaoliang
  1. 基于stm32f103开发板的频率计

    1下载:
  2. 基于stm32f103开发板 测量方波(pwm)的频率 频率范围 (1-50k为佳)(Based on the STM32F103 development board, the frequency (frequency range) of Fang Bo (PWM) is measured (1-50k is better))
  3. 所属分类:其他

    • 发布日期:2017-12-17
    • 文件大小:2421760
    • 提供者:mengjun_ding
  1. BDC

    0下载:
  2. 8位16进制频率计,另外含有十进制的转换(cymometer; frequency meter)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:626688
    • 提供者:啧啧哈
  1. Simple frequency meter2.2

    0下载:
  2. 简易数字频率计采用了测频和测周法实现频率的测量(Simple frequency meter)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:33792
    • 提供者:啦啦啦317
  1. freq_100M

    0下载:
  2. 用于检测100MHZ频率,带51单片机软核,控制外部液晶显示器以及按键等(Used to detect 100MHZ frequency, with 51 SCM soft core, control of external LCD monitors and buttons, etc.)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:6753280
    • 提供者:123yhl
  1. 等精度数字频率计

    1下载:
  2. 可以测量1HZ-150MHZ矩形波(其他波形需整形后输入)信号,误差<0.01%,串口输出NC和NX值。(1HZ-150MHZ square wave can be measured (other waveform needs to be shaped after the input) signal, error <0.01%, serial output NC and NX values.)
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:5257216
    • 提供者:yu2014ol
  1. 频率计与占空比

    0下载:
  2. 51单片机对10Hz~100KHz的正弦波进行频率与占空比的测量,并通过1602显示出来(51 SCM 10Hz~100KHz sinusoidal frequency and duty cycle measurement, and show through 1602)
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:34816
    • 提供者:懂ni
  1. 频率计

    0下载:
  2. quartusii 和vhdl语言利用四位频率计设计,(Four bit frequency meter design)
  3. 所属分类:其他

  1. 测量频率

    0下载:
  2. 功能:测量两路输入的频率,并在液晶上显示。 有两种方法测频率,一种是周期测频法,一种是频率计数法: 周期测频法要求有参考时钟,通过对一个或者几个周期信号内参考时钟的计数来测量; 频率计数法就是单位时间内测出被测信号的改变次数,从而得到频率。 第一种方法的精度与参考时钟的速度有关,速度越快精度越高,第二种的精度跟所取的单位时间有关,时间越长,精度越高。所以前者适合测低频,后者适合测高频。 所以要想测量准确就得看你所测信号的频率范围了。 你也可以结合这两者的优势,实现互补。
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:17692672
    • 提供者:Suns@asd
  1. chengxu

    0下载:
  2. 实现函数信号频率的测量与显示,用四位共阳极数码管,基于单片机89C51(Measurement and display of signal frequency)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:哲影
  1. 基于单片机的频率计的设计论文

    1下载:
  2. 频率计数器的毕业设计 基于51单片机的范围10-500khz(The graduation design of the frequency counter 51 single chip microcompute)
  3. 所属分类:其他

    • 发布日期:2020-11-14
    • 文件大小:587776
    • 提供者:图坦塔门
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com