CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 频率计

搜索资源列表

  1. 3

    0下载:
  2. 数电实验箱的数码管为共阳动态扫描数码管。针对该数码管采用动态扫描模式。扫描频率为10KHZ。数码管按照习惯从左到右为高低位千位道个位。 模块内包含三个进程:一为计数值读取及译码,二为小数点状态读取及译码,三将译码后的数据从端口输出并配合位选信号实现动态扫描。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1549
    • 提供者:张伯伦
  1. unsigned

    0下载:
  2. 大学生完成电子设计全过程 题目的任务是计、制作一个可分析音频信号频率成分,并可测量正弦信号失真度的仪器。模拟部分的要求是:(1)输入阻抗:50Ω (2)输入信号电压范围(峰-峰值):100mV~5V;(3)输入信号包含的频率成分范围:200Hz~10kHz。数字部分的要求是:(1)20Hz分辨力的频谱分析;(2)信号各分量功率测量;(3)信号失真度测量。-University Students finish the whole process of electronic design
  3. 所属分类:CSharp

    • 发布日期:2017-05-11
    • 文件大小:2065720
    • 提供者:陆诚
  1. DigitalElectronicsDivisionLocated

    0下载:
  2. 数字电子课设 顶事炸弹的拆除系统是基于计数器、编码器、译码器、数据选择器等中、小规模集成电路的小型数字系统。本系统主要由五个部分组成:波形产生部分、密码输入部分、控制部分、计数部分和报警部分。波形产生部分的功能是获得具有一定周期、频率以及固定占空比的方波。拆除炸弹时拆弹人员通过密码输入部分输入密码进行炸弹的拆除。控制部分和计数部分是本系统的核心,根据外界条件的不同刺激,控制部分作出相应的反应来控制计数部分的工作,并通过报警部分提示本系统当前所处的状态。本系统为炸弹使用人员和拆弹人员提供了五个
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-09
    • 文件大小:1850545
    • 提供者:Ren
  1. sing

    0下载:
  2. 在本设计中,时钟信号通过分频计产生一个理想的目标时钟频率,控制地址发生器计数,地址发生器的计数结果输出给正弦波数据存数ROM,作为其地址,从该地址取出ROM里的存储好的数据,再通过DA转换,将数字信号转换成模拟信号,最后输出给示波器观察。-In this design, the clock signal generated by frequency meter an ideal target clock frequency, the control address generator coun
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2502566
    • 提供者:刘睿阳
  1. zpqm

    0下载:
  2. 频率计,基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。-Frequency meter, the basic design principle is directly with decimal digital display measured signal frequency of a measuring device.It with the method of measuring cycle of sine wave
  3. 所属分类:CSharp

    • 发布日期:2017-05-01
    • 文件大小:161165
    • 提供者:陈松
  1. equal-precision-frequency-counter

    1下载:
  2. 这个是参加电子设计大赛时候用51单片机做的等精度频率计,测量精度比较准确-This is to participate in the electronic design contest with 51 single chip to do the accuracy of the frequency meter, the accuracy of the measurement is more accurate
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-07
    • 文件大小:1038611
    • 提供者:夏皓
  1. pinluji

    0下载:
  2. 基于easy-51单片机的频率计,该频率计可以产生1-50hz频率方波,且可以调节产生方波的频率周期,占空比-Based on easy-51 microcontroller frequency meter, frequency meter that can generate 1-50hz frequency square wave, and can adjust the frequency of the square wave cycle, the duty cycle
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-04
    • 文件大小:15821
    • 提供者:王杰
  1. project

    0下载:
  2. 基于430的频率计,开发环境为iar,内有工程文件及C语言文件,H头文件-measuring frenquce base on 430
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:46537
    • 提供者:123
  1. 1111

    0下载:
  2. 频率计的设计,相信同学你看到会有很大帮助,欢迎点赞!-Frequency meter design, I believe you will see a lot of classmates help, welcome to praise!
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2373
    • 提供者:Glory贞德
  1. pinlvji

    0下载:
  2. 4位十进制频率计:根据testmode值的4种情况分别进行分频,即设置了mode模块产生4种情况;通过1Hz分频与flag_1s的状态机模块产生一个持续1s的高电平信号,再用freq_count对测试信号进行计数-4 decimal frequency meter:According to the testmode value of the four cases were divided, that is set up the mode module to produce four cases
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:3807761
    • 提供者:Wane
  1. pinglvji

    0下载:
  2. Verilog HDL 实现频率计,数码管显示1~9999Hz 开发环境ISE14.7-Verilog HDL frequency meter, digital tube display 1~9999Hz Development environment ISE14.7
  3. 所属分类:Other systems

    • 发布日期:2017-12-20
    • 文件大小:3815424
    • 提供者:王春城
  1. pinlvji

    0下载:
  2. 基于AT89C51+MAX7219的频率计 附带proteus仿真电路图 实际硬件电路测试通过- U57FA u4E8EAT89C51+MAX7219 u7684 u9891 u7397 u8641 u9644 u5E26proteus u4EFF u771F u7535 u8DEF u56FE u5B9E u9645 u786C u4EF6 u7535 u8DEF u6D4B u8BD5 u901A u8FC7
  3. 所属分类:Other systems

    • 发布日期:2017-12-17
    • 文件大小:44032
    • 提供者:何旺宇
  1. fdiv0_256_14

    0下载:
  2. 利用Verilog HDL制作一个数控频率计,0~256可控(Use Verilog HDL to make a CNC frequency meter, 0~256 controllable)
  3. 所属分类:其他

  1. 波形发生器1

    0下载:
  2. 基于89C51的波形发生器,产生三角波,锯齿波,正弦波,方波,频率计,其幅度,频率可调(89C51 based waveform generator, generate triangular wave, sawtooth wave, sine wave, square wave, frequency meter, its amplitude, frequency adjustable)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:130048
    • 提供者:欢姐
  1. counter_frequency - 副本

    0下载:
  2. FPGA制作频率计并且通过串口连接并进行显示(FPGA drive frequency meter and display with serial port)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:5151744
    • 提供者:夜久
  1. TIMER

    0下载:
  2. STM32 的定时器能功,可以用来作为信号发生器和频率计,一方面来测频,一方面来发生频率可变,占空比可变的信号发生器(STM32 timer can work, can be used as a signal generator and frequency meter, on the one hand to measure frequency, on the one hand to variable frequency, duty cycle variable signal generator)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:2048
    • 提供者:vince qi
  1. Grochecdecomposition

    0下载:
  2. 声卡数字频率计 该程序是标准的Windows界面,界面友好,以黑色背景红色大号字体显示频率值,具有醒目,程序简洁和测量精度高的特点()
  3. 所属分类:对话框与窗口

    • 发布日期:2018-04-22
    • 文件大小:109568
    • 提供者:YRZ%252110358
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
  1. 5869719

    0下载:
  2. c的功底真的不错 跟硬件也有很大关联, 用虚拟声卡制作频率计()
  3. 所属分类:对话框与窗口

    • 发布日期:2018-04-29
    • 文件大小:118784
    • 提供者:Jamjs
  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com