CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - DISPLAY

搜索资源列表

  1. CLOCK

    0下载:
  2. 针对一款时钟的源代码程序,实现时钟控制等功能,led display c-A clock for the source code of procedure, to achieve control of features such as clock, led display c
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:2189
    • 提供者:bingdianfeiteng
  1. display

    0下载:
  2. 动态数码显示程序,适合初学者,程序可移植-Dynamic digital display program, suitable for beginners, the program can be transplanted
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:785
    • 提供者:zongjuyan
  1. shizhong

    0下载:
  2. 用vhdl语言描述时钟的功能,并通过七段译码显示输出。-VHDL language used to describe the function of the clock and through the Seven-Segment display decoder output.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:67471
    • 提供者:wuyub
  1. stopwatch

    0下载:
  2. matlab GUI中 实时显示钟表时间的用户操作界面-matlab GUI real-time clocks, time display user interface
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-03-23
    • 文件大小:1885
    • 提供者:毛飞
  1. display

    0下载:
  2. 蓝牙display的源码,用CSR公司的IDE开发-Bluetooth display the source code, using CSR s IDE development
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:23789
    • 提供者:
  1. Distributed_Parallel_Render

    0下载:
  2. 多投影仪大规模显示系统 多通道同步控制模块代码-Large-scale multi-projector display system of multi-channel synchronous control module code
  3. 所属分类:Communication

    • 发布日期:2017-04-26
    • 文件大小:46839
    • 提供者:minny
  1. display

    0下载:
  2. 地理信息系统的一个功能,对底图进行显示!-GIS, on the base map display
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-12
    • 文件大小:798796
    • 提供者:叶风
  1. display

    0下载:
  2. VS.NET 2003环境下VC代码,托管代码。功能:显示简单关于对话框-VS.NET 2003 environment VC code, managed code. Function: Display About dialog box easy
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-28
    • 文件大小:9796
    • 提供者:Charles
  1. display-block-inline-none

    0下载:
  2. CSS代码示例- display 属性分别为block, inline, none 值时的显示区别-CSS code sample- display property were block, inline, none display the difference between values
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2548
    • 提供者:dfengche
  1. LED

    0下载:
  2. led display programme
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2181
    • 提供者:fancywoods
  1. display

    0下载:
  2. 该软件利用MFC编程,实现波形的动态无闪烁显示,可以当作个虚拟示波器使用。还可以作为上位机和单片机的接口操作界面。-The software is the use of MFC programming, implementation of the dynamic waveform flashing, it can be used as a virtual oscilloscope. Also can serve as a host computer and the MCU interface.
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-26
    • 文件大小:39309
    • 提供者:ly
  1. MyGame

    0下载:
  2. In the game, there are two moving objects on a game board, a thief and a police. The thief is controlled by the computer and moves around in the game board randomly. The user (i.e., the player) moves the police left, down, right and up by pressin
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-08
    • 文件大小:1638486
    • 提供者:潘家能
  1. display

    0下载:
  2. Display是flow3的显示程序,采用fortran90编写-Display is the display flow3 procedure for the preparation of FORTRAN90
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-26
    • 文件大小:88924
    • 提供者:syh
  1. display!

    0下载:
  2. hardware: attinny2313, 2row display DEM106 tinny communicate with aurt with other uC. Display is showing this received data in binary and hex nummber.
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-14
    • 文件大小:2907
    • 提供者:deweon
  1. display

    0下载:
  2. 用M128做的汉字图形显示和万年历,可以显示汉字和万年历,不怕掉电-M128 characters do with graphics display and perpetual calendar, perpetual calendar can display Chinese characters and not afraid of power-down
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:13966
    • 提供者:许松
  1. source_code

    0下载:
  2. 基于FPGA的vga实现,用于显示一行文字"伟杰电子FPGA开发系统 "-FPGA-based realization of the vga, used to display a line of text " Weijie e-FPGA Development System"
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:3391
    • 提供者:陈阳光
  1. MorphDisplay

    0下载:
  2. VB专用的LED/LCD数字显示时钟控件的源代码,有多种风格样式,它可以支持自定义图片显示,可以显示数学符号及日期时钟等。-VB-specific LED/LCD digital display clock controls the source code, there are a variety of styles, it can support custom picture shows, you can display mathematical symbols such as the dat
  3. 所属分类:GUI Develop

    • 发布日期:2017-03-31
    • 文件大小:30943
    • 提供者:224
  1. VGA_chardisplay

    0下载:
  2. files describe a example display character to vga
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:234336
    • 提供者:tieu khang
  1. VirtualWAVE

    0下载:
  2. 用VC++实现的虚拟示波器 1 单击“示波”按钮,可以显示一条动态的正弦曲线,及信号的幅值和频率 2 单击“调幅”旋钮,该旋钮发生旋转,正弦曲线的幅值和相关的幅度坐标系也发生变化 3 单击“调频”旋钮,该旋钮发生旋转,正弦曲线的频率发生相应变化 -Using VC++ to achieve a virtual oscilloscope click " Oscillographic" button, you can display a dynamic sinus
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:421990
    • 提供者:蜗牛
  1. VGA

    0下载:
  2. VHDL Code For display a picture on Monitor
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:76295
    • 提供者:starplus
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com