CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - FIR滤波器

搜索资源列表

  1. C-FIR

    0下载:
  2. 此程序是用C语言编写的FIR数字滤波器,程序分块相当完整清晰:打开文件,读取数据文件,运算滤波,结果写入文件,关闭文件。已经通过验证-This program is written in C language FIR digital filters, the program block quite a complete and clear: open the file, read data files, computing filtering, the results of written do
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-22
    • 文件大小:555
    • 提供者:风影
  1. Matlab

    1下载:
  2. FIR滤波器设计中的凯撒窗函数设计,最后有对应系数产生-FIR filter design in the design of the Kaiser window function, and finally there are the corresponding coefficients have
  3. 所属分类:Other systems

    • 发布日期:2014-05-03
    • 文件大小:1416
    • 提供者:yc
  1. FIR

    0下载:
  2. FIR滤波器的一种新型设计方法 讲述型的快捷的方法来设计滤波器-无
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:135610
    • 提供者:武大
  1. FIR

    0下载:
  2. 基于FPGA的FIR滤波器实现,含全部不源代码-FPGA-based FIR filter, including all non-source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:8174
    • 提供者:邱林凤
  1. fir

    0下载:
  2. 只是一个8阶的fir滤波器,希望对大家有用-Only an 8-band fir filters, useful for all of us hope
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:407362
    • 提供者:yyl
  1. fir

    0下载:
  2. c语言实现的FIR滤波器,用户输入FIR滤波器的参数。-c language of the FIR filter, FIR filter user input parameters.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:206716
    • 提供者:wangzhen
  1. FIR

    1下载:
  2. FIR滤波器设计的课件,大家及时下载学习-FIR filter design courseware, we learn from time to download! !
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:428044
    • 提供者:
  1. MATLAbshuzilvboqi

    0下载:
  2. 基于MATLAB的FIR数字滤波器设计 加深对数字滤波器的常用指标和设计过程的理解。 FIR滤波器实现一般采用窗函数法和等纹波设计法。窗函数法还包含两个分支,一种是用公式先手动算出N值和其他对应得窗函数参数值,再代入窗函数和fir1实现,一种是用函数*rord估算出N和相应参数再用fir1实现。不过要注意*rord会低估或高估阶次n,可能会使滤波器达不到指定的性能,这时应稍微增加或降低阶次。如果截止频率在0或Nyquist频率附近,或者设定的dev值较大,则得不到正确结果-MATLAB-
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:159354
    • 提供者:JEFF
  1. firfilter

    0下载:
  2. mfc滤波器.rar 用MFC编写的滤波器程序 fir滤波器 firfilter.cpp firfilterDlg.cpp firfilter.dsw firfilter.-mfc filters. rar filters written using MFC program fir filter firfilter.cpp firfilterDlg.cpp firfilter.dsw firfilter.
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-14
    • 文件大小:3634922
    • 提供者:adam
  1. fir_filter

    0下载:
  2. FIR滤波器的设计,刚开始用matlab的可以参考下,-FIR filter example,those who just begin to learn matlab can refer to these programs.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:611063
    • 提供者:zrsy
  1. Filter

    0下载:
  2. FIR滤波器~在ISE下运行成功~格形滤波器-FIR
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:299565
    • 提供者:11
  1. fir

    0下载:
  2. FIR滤波器的MATLAB设计,根据航海雷达特性设计的滤波器-MATLAB FIR filter design, according to the design of the filter characteristics of marine radar
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:8496
    • 提供者:郑小姣
  1. fir

    0下载:
  2. 是一个fir滤波器 其中使用了MAC单元去实现累加和乘法运算。-A fir filter which uses the MAC unit to achieve accumulation and multiplication.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:710
    • 提供者:张晓东
  1. ClanguagetoimplementtheFIRlow-passfilter

    0下载:
  2. FIR滤波器被称为有限长脉冲响应滤波器,运用C语言实现了FIR低通滤波器,-FIR filter is known as finite impulse response filter, using C language to implement the FIR low-pass filter,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:29241
    • 提供者:liuhongming
  1. FIR-fliter

    0下载:
  2. 可以实现在labview环境下实现FIR滤波器-Labview environment to achieve the FIR filter
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:27424
    • 提供者:
  1. FIR滤波器的设计

    0下载:
  2. 1.线性相位FIR滤波器性质 2.窗函数设计FIR滤波器 3.FIR滤波器设计 4.Matlab中滤波器设计工具(1. Linear Phase FIR Filter Properties 2. Window function design FIR filter 3. FIR filter design 4. Matlab filter design tool)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:whig
  1. fir

    0下载:
  2. MATLAB设计fir数字滤波器 , 结合modelsim软件仿真。(MATLAB design FIR digital filter)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:940032
    • 提供者:眼前人
  1. 数字滤波器

    0下载:
  2. 滤波器构建的matlab程序,例如FIR滤波器(Filter construction A matlab program built by a filter, such as a FIR filter)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:3072
    • 提供者:前行者P
  1. E4_6_FirIpCore

    0下载:
  2. 用vhdl语言在xilinx上用ip核实现的fir滤波器的设计(Design of FIR filter implemented with IP kernel on Xilinx in VHDL language)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:1198080
    • 提供者:cc12
  1. study

    0下载:
  2. 本课题使用MATLAB信号处理箱和运用窗函数的FIR滤波器去除无用信号(This topic uses MATLAB signal processing box and FIR filter using window function to remove useless signals.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:196608
    • 提供者:阿尔托莉雅
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com