CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - IEEE

搜索资源列表

  1. IEEE-Std-802.11a-1999

    0下载:
  2. IEEE-Std-802.11a标准文档, 如你需要更多的IEEE标准请联系truewell@anhuinews.com-IEEE - Std-802.11a standard documentation, If you need more IEEE standard please contact truewell@anhuinews.com
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:631898
    • 提供者:莫宁
  1. IEEE.Xplore.CHINESE.Ver.1.4

    0下载:
  2. IEEE Xplore CHINESE Ver 1.4.doc IEEE Xplore CHINESE Ver 1.4.doc-IEEE Xplore ENGLISH Ver 1.4.doc IEEE Xplor e ENGLISH Ver 1.4.doc IEEE Xplore ENGLISH Ver 1. 4.doc
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:25588
    • 提供者:hang
  1. IEEE

    0下载:
  2. 关于联合信源信道编码的文章,来自IEEE,对于JSCC的研究很有参考价值
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7928154
    • 提供者:Airs
  1. 802.11a-1999[1].rar

    0下载:
  2. IEEE 802.11 WLAN设计经典书籍,IEEE 802.11 WLAN设计经典书籍
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:906473
    • 提供者:陈提
  1. IEEE754.zip

    0下载:
  2. 依據IEEE-754 浮點數標準,將32 bit的Hex,轉換為浮點數,From 32-bit Hexadecimal Representation To Decimal Floating-Point for the IEEE-754 floating-point standard
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:35551
    • 提供者:lan_chia_fan
  1. EDCA

    0下载:
  2. 研究了IEEE 802.11e EDcA机制的原理和无线信道利用模型,阐明了EDCA参数设置对其性能的重要 性。在此基础上,提出了一种简单有效的参数调节策略。仿真结果表明,该参数调节机制在保证实时业务要求的同 时,可以显著提高数据流的吞吐率,优化了EDCA机制的运行性能。-Studied the mechanism of IEEE 802.11e EDcA theory and wireless channel model, clarifying the EDCA parameter s
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:272903
    • 提供者:木琳琅
  1. IEEE-Trans.PPT

    1下载:
  2. 清华张贤达教授做关于IEEE Trans. 文章写作和投稿的讲座的PPT-The PPT of Tsinghua university professor Zhang XianDa about IEEE Trans. article writing and contributes
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:144384
    • 提供者:豆湾
  1. IEEE-paper-format-summary

    0下载:
  2. 该文档详细说明了IEEE论文格式的各种要求,说明部分为中文,结尾富有IEEE英文范文一篇。-This document detail the IEEE paper format, explains the various requirements of the part to be Chinese, ending rich IEEE English essays an article
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:459776
    • 提供者:xiaozhu
  1. ieee-802.15.4a-channel-final-report

    0下载:
  2. IEEE 802.15.4a信道模型最权威的文档,包含实现该模型的matlab代码-IEEE 802.15.4a channel model,most authoritative documents, including matlab code
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:513970
    • 提供者:王准
  1. IEEE

    1下载:
  2. ieee 标准测试系统原始数据--14 30 57 118节点-ieee standard test system, the raw data 14 30 57 118
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2229138
    • 提供者:胡坤
  1. 第一篇论文模板(IEEE模板)

    3下载:
  2. 这里面的内容是期刊ieee的latex模板,需要它的人可以下载。(The content inside is the latex template of the journal ieee, which people who need it can download.)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:152576
    • 提供者:北风吹111
  1. Standard IEEE-14 node test system data

    0下载:
  2. 电力系统潮流计算中可用到的标准IEEE-14节点测试系统数据!(The standard IEEE-14 node can be used to test the system data in power flow calculation!)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:155648
    • 提供者:考研之路
  1. IBM-IEEE

    0下载:
  2. C++部分代码,功能为IBM格式浮点小数与IEEE格式浮点小数之间的相互转换(C++ part of the code, the function of the IBM format floating-point decimal and IEEE format interconversion between the decimal)
  3. 所属分类:Windows编程

    • 发布日期:2018-04-28
    • 文件大小:1024
    • 提供者:开心泡泡鱼
  1. 含汽轮机&水轮机的IEEE 3机9节点仿真系统_PSCAD

    6下载:
  2. IEEE标准3机9节点模型,可用于暂态分析;包含典型汽轮机和水轮机驱动的同步机。(The 3 source and 9 nodes model of IEEE , which can be used for transient analysis; Including synchronous machine driven by typical water turbine or steam turbine.)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:6144
    • 提供者:满天数星斗
  1. A-LaTeX-Demo-for-IEEE-master

    2下载:
  2. IEEE的LaTeX模板,初学即可使用。对于第一次使用LaTeX的人可以很方便的使用,内附有pdf和模板。(IEEE's LaTeX template, first learner can use)
  3. 所属分类:其他

    • 发布日期:2018-04-28
    • 文件大小:386048
    • 提供者:remedyxd
  1. IEEE 118 Bus_modified

    0下载:
  2. IEEE 118 Bus System - DIgSILENT
  3. 所属分类:其他

    • 发布日期:2018-04-23
    • 文件大小:1185792
    • 提供者:erikm0895
  1. [IEEE]SystemVerilog.std.1800-2012.pdf

    0下载:
  2. [IEEE]SystemVerilog.std.1800-2012
  3. 所属分类:其他

    • 发布日期:2018-04-23
    • 文件大小:6410240
    • 提供者:see01995
  1. IEEE 118 bus system

    0下载:
  2. IEEE 118 bus system designed in PSCAD
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:263168
    • 提供者:engr.abs
  1. ieee

    1下载:
  2. 适用于SSO研究,搭建基于PSCAD的IEEE第一标准模型,可以在其基础上进行其他新能源机组的扩接(Suitable for SSO research)
  3. 所属分类:其他

    • 发布日期:2019-10-24
    • 文件大小:2380800
    • 提供者:spring young
  1. IEEE 14 bus

    1下载:
  2. Powerworld IEEE 14 bus .pwb Powerworld IEEE 14 bus .pwd
  3. 所属分类:其他

    • 发布日期:2021-04-01
    • 文件大小:8192
    • 提供者:frkydn09
« 12 3 4 5 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com